WorldWideScience

Sample records for volatile memory device

  1. Metal-organic molecular device for non-volatile memory storage

    International Nuclear Information System (INIS)

    Radha, B.; Sagade, Abhay A.; Kulkarni, G. U.

    2014-01-01

    Non-volatile memory devices have been of immense research interest for their use in active memory storage in powered off-state of electronic chips. In literature, various molecules and metal compounds have been investigated in this regard. Molecular memory devices are particularly attractive as they offer the ease of storing multiple memory states in a unique way and also represent ubiquitous choice for miniaturized devices. However, molecules are fragile and thus the device breakdown at nominal voltages during repeated cycles hinders their practical applicability. Here, in this report, a synergetic combination of an organic molecule and an inorganic metal, i.e., a metal-organic complex, namely, palladium hexadecylthiolate is investigated for memory device characteristics. Palladium hexadecylthiolate following partial thermolysis is converted to a molecular nanocomposite of Pd(II), Pd(0), and long chain hydrocarbons, which is shown to exhibit non-volatile memory characteristics with exceptional stability and retention. The devices are all solution-processed and the memory action stems from filament formation across the pre-formed cracks in the nanocomposite film.

  2. Bioorganic nanodots for non-volatile memory devices

    International Nuclear Information System (INIS)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi; Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil; Roizin, Yakov

    2013-01-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO 2 surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device

  3. Bioorganic nanodots for non-volatile memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Amdursky, Nadav; Shalev, Gil; Handelman, Amir; Natan, Amir; Rosenwaks, Yossi [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); Litsyn, Simon; Szwarcman, Daniel; Rosenman, Gil, E-mail: rgil@post.tau.ac.il [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); StoreDot LTD, 16 Menahem Begin St., Ramat Gan (Israel); Roizin, Yakov [School of Electrical Engineering, Iby and Aladar Fleischman Faculty of Engineering, Tel Aviv University, Tel Aviv 69978 (Israel); TowerJazz, P.O. Box 619, Migdal HaEmek 23105 (Israel)

    2013-12-01

    In recent years we are witnessing an intensive integration of bio-organic nanomaterials in electronic devices. Here we show that the diphenylalanine bio-molecule can self-assemble into tiny peptide nanodots (PNDs) of ∼2 nm size, and can be embedded into metal-oxide-semiconductor devices as charge storage nanounits in non-volatile memory. For that purpose, we first directly observe the crystallinity of a single PND by electron microscopy. We use these nanocrystalline PNDs units for the formation of a dense monolayer on SiO{sub 2} surface, and study the electron/hole trapping mechanisms and charge retention ability of the monolayer, followed by fabrication of PND-based memory cell device.

  4. Discovering Authentication Credentials in Volatile Memory of Android Mobile Devices

    OpenAIRE

    Apostolopoulos , Dimitris; Marinakis , Giannis; Ntantogian , Christoforos; Xenakis , Christos

    2013-01-01

    Part 5: Adoption Issues in e/m-Services; International audience; This paper investigates whether authentication credentials in the volatile memory of Android mobile devices can be discovered using freely available tools. The experiments that we carried out for each application included two different sets: In the first set, our goal was to check if we could recover our own submitted credentials from the memory dump of the mobile device. In the second set of experiments, the goal was to find pa...

  5. Non-volatile memory devices with redox-active diruthenium molecular compound

    International Nuclear Information System (INIS)

    Pookpanratana, S; Zhu, H; Bittle, E G; Richter, C A; Li, Q; Hacker, C A; Natoli, S N; Ren, T

    2016-01-01

    Reduction-oxidation (redox) active molecules hold potential for memory devices due to their many unique properties. We report the use of a novel diruthenium-based redox molecule incorporated into a non-volatile Flash-based memory device architecture. The memory capacitor device structure consists of a Pd/Al 2 O 3 /molecule/SiO 2 /Si structure. The bulky ruthenium redox molecule is attached to the surface by using a ‘click’ reaction and the monolayer structure is characterized by x-ray photoelectron spectroscopy to verify the Ru attachment and molecular density. The ‘click’ reaction is particularly advantageous for memory applications because of (1) ease of chemical design and synthesis, and (2) provides an additional spatial barrier between the oxide/silicon to the diruthenium molecule. Ultraviolet photoelectron spectroscopy data identified the energy of the electronic levels of the surface before and after surface modification. The molecular memory devices display an unsaturated charge storage window attributed to the intrinsic properties of the redox-active molecule. Our findings demonstrate the strengths and challenges with integrating molecular layers within solid-state devices, which will influence the future design of molecular memory devices. (paper)

  6. Microwave oven fabricated hybrid memristor devices for non-volatile memory storage

    International Nuclear Information System (INIS)

    Verrelli, E; Gray, R J; O’Neill, M; Kemp, N T; Kelly, S M

    2014-01-01

    Novel hybrid non-volatile memories made using an ultra-fast microwave heating method are reported for the first time. The devices, consisting of aligned ZnO nanorods embedded in poly (methyl methacrylate), require no forming step and exhibit reliable and reproducible bipolar resistive switching at low voltages and with low power usage. We attribute these properties to a combination of the high aspect ratio of the nanorods and the polymeric hybrid structure of the device. The extremely easy, fast and low-cost solution based method of fabrication makes possible the simple and quick production of cheap memory cells. (paper)

  7. Technology breakthroughs in high performance metal-oxide-semiconductor devices for ultra-high density, low power non-volatile memory applications

    Science.gov (United States)

    Hong, Augustin Jinwoo

    Non-volatile memory devices have attracted much attention because data can be retained without power consumption more than a decade. Therefore, non-volatile memory devices are essential to mobile electronic applications. Among state of the art non-volatile memory devices, NAND flash memory has earned the highest attention because of its ultra-high scalability and therefore its ultra-high storage capacity. However, human desire as well as market competition requires not only larger storage capacity but also lower power consumption for longer battery life time. One way to meet this human desire and extend the benefits of NAND flash memory is finding out new materials for storage layer inside the flash memory, which is called floating gate in the state of the art flash memory device. In this dissertation, we study new materials for the floating gate that can lower down the power consumption and increase the storage capacity at the same time. To this end, we employ various materials such as metal nanodot, metal thin film and graphene incorporating complementary-metal-oxide-semiconductor (CMOS) compatible processes. Experimental results show excellent memory effects at relatively low operating voltages. Detailed physics and analysis on experimental results are discussed. These new materials for data storage can be promising candidates for future non-volatile memory application beyond the state of the art flash technologies.

  8. Resistive switching characteristics of polymer non-volatile memory devices in a scalable via-hole structure

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee

    2009-01-01

    The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 μm 2 to 200 x 200 nm 2 . From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I ON /I OFF ∼10 4 ), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10 000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.

  9. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  10. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  11. Design exploration of emerging nano-scale non-volatile memory

    CERN Document Server

    Yu, Hao

    2014-01-01

    This book presents the latest techniques for characterization, modeling and design for nano-scale non-volatile memory (NVM) devices.  Coverage focuses on fundamental NVM device fabrication and characterization, internal state identification of memristic dynamics with physics modeling, NVM circuit design, and hybrid NVM memory system design-space optimization. The authors discuss design methodologies for nano-scale NVM devices from a circuits/systems perspective, including the general foundations for the fundamental memristic dynamics in NVM devices.  Coverage includes physical modeling, as well as the development of a platform to explore novel hybrid CMOS and NVM circuit and system design.   • Offers readers a systematic and comprehensive treatment of emerging nano-scale non-volatile memory (NVM) devices; • Focuses on the internal state of NVM memristic dynamics, novel NVM readout and memory cell circuit design, and hybrid NVM memory system optimization; • Provides both theoretical analysis and pr...

  12. Phase-change materials for non-volatile memory devices: from technological challenges to materials science issues

    Science.gov (United States)

    Noé, Pierre; Vallée, Christophe; Hippert, Françoise; Fillot, Frédéric; Raty, Jean-Yves

    2018-01-01

    Chalcogenide phase-change materials (PCMs), such as Ge-Sb-Te alloys, have shown outstanding properties, which has led to their successful use for a long time in optical memories (DVDs) and, recently, in non-volatile resistive memories. The latter, known as PCM memories or phase-change random access memories (PCRAMs), are the most promising candidates among emerging non-volatile memory (NVM) technologies to replace the current FLASH memories at CMOS technology nodes under 28 nm. Chalcogenide PCMs exhibit fast and reversible phase transformations between crystalline and amorphous states with very different transport and optical properties leading to a unique set of features for PCRAMs, such as fast programming, good cyclability, high scalability, multi-level storage capability, and good data retention. Nevertheless, PCM memory technology has to overcome several challenges to definitively invade the NVM market. In this review paper, we examine the main technological challenges that PCM memory technology must face and we illustrate how new memory architecture, innovative deposition methods, and PCM composition optimization can contribute to further improvements of this technology. In particular, we examine how to lower the programming currents and increase data retention. Scaling down PCM memories for large-scale integration means the incorporation of the PCM into more and more confined structures and raises materials science issues in order to understand interface and size effects on crystallization. Other materials science issues are related to the stability and ageing of the amorphous state of PCMs. The stability of the amorphous phase, which determines data retention in memory devices, can be increased by doping the PCM. Ageing of the amorphous phase leads to a large increase of the resistivity with time (resistance drift), which has up to now hindered the development of ultra-high multi-level storage devices. A review of the current understanding of all these

  13. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  14. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  15. EDITORIAL: Non-volatile memory based on nanostructures Non-volatile memory based on nanostructures

    Science.gov (United States)

    Kalinin, Sergei; Yang, J. Joshua; Demming, Anna

    2011-06-01

    Non-volatile memory refers to the crucial ability of computers to store information once the power source has been removed. Traditionally this has been achieved through flash, magnetic computer storage and optical discs, and in the case of very early computers paper tape and punched cards. While computers have advanced considerably from paper and punched card memory devices, there are still limits to current non-volatile memory devices that restrict them to use as secondary storage from which data must be loaded and carefully saved when power is shut off. Denser, faster, low-energy non-volatile memory is highly desired and nanostructures are the critical enabler. This special issue on non-volatile memory based on nanostructures describes some of the new physics and technology that may revolutionise future computers. Phase change random access memory, which exploits the reversible phase change between crystalline and amorphous states, also holds potential for future memory devices. The chalcogenide Ge2Sb2Te5 (GST) is a promising material in this field because it combines a high activation energy for crystallization and a relatively low crystallization temperature, as well as a low melting temperature and low conductivity, which accommodates localized heating. Doping is often used to lower the current required to activate the phase change or 'reset' GST but this often aggravates other problems. Now researchers in Korea report in-depth studies of SiO2-doped GST and identify ways of optimising the material's properties for phase-change random access memory [1]. Resistance switching is an area that has attracted a particularly high level of interest for non-volatile memory technology, and a great deal of research has focused on the potential of TiO2 as a model system in this respect. Researchers at HP labs in the US have made notable progress in this field, and among the work reported in this special issue they describe means to control the switch resistance and show

  16. Non-volatile memories

    CERN Document Server

    Lacaze, Pierre-Camille

    2014-01-01

    Written for scientists, researchers, and engineers, Non-volatile Memories describes the recent research and implementations in relation to the design of a new generation of non-volatile electronic memories. The objective is to replace existing memories (DRAM, SRAM, EEPROM, Flash, etc.) with a universal memory model likely to reach better performances than the current types of memory: extremely high commutation speeds, high implantation densities and retention time of information of about ten years.

  17. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  18. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan

    2016-03-16

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  19. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan; Zidan, Mohammed A.; Salem, Ahmed Sultan; Salama, Khaled N.

    2016-01-01

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  20. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser; Bhansali, Unnat Sampatraj; Alshareef, Husam N.

    2012-01-01

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage

  1. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  2. Physical principles and current status of emerging non-volatile solid state memories

    Science.gov (United States)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  3. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  4. The memory of volatility

    Directory of Open Access Journals (Sweden)

    Kai R. Wenger

    2018-03-01

    Full Text Available The focus of the volatility literature on forecasting and the predominance of theconceptually simpler HAR model over long memory stochastic volatility models has led to the factthat the actual degree of memory estimates has rarely been considered. Estimates in the literaturerange roughly between 0.4 and 0.6 - that is from the higher stationary to the lower non-stationaryregion. This difference, however, has important practical implications - such as the existence or nonexistenceof the fourth moment of the return distribution. Inference on the memory order is complicatedby the presence of measurement error in realized volatility and the potential of spurious long memory.In this paper we provide a comprehensive analysis of the memory in variances of international stockindices and exchange rates. On the one hand, we find that the variance of exchange rates is subject tospurious long memory and the true memory parameter is in the higher stationary range. Stock indexvariances, on the other hand, are free of low frequency contaminations and the memory is in the lowernon-stationary range. These results are obtained using state of the art local Whittle methods that allowconsistent estimation in presence of perturbations or low frequency contaminations.

  5. Electric field mediated non-volatile tuning magnetism in CoPt/PMN-PT heterostructure for magnetoelectric memory devices

    Science.gov (United States)

    Yang, Y. T.; Li, J.; Peng, X. L.; Wang, X. Q.; Wang, D. H.; Cao, Q. Q.; Du, Y. W.

    2016-02-01

    We report a power efficient non-volatile magnetoelectric memory in the CoPt/(011)PMN-PT heterostructure. Two reversible and stable electric field induced coercivity states (i.e., high-HC or low-HC) are obtained due to the strain mediated converse magnetoelectric effect. The reading process of the different coercive field information written by electric fields is demonstrated by using a magnetoresistance read head. This result shows good prospects in the application of novel multiferroic devices.

  6. Silicon nano crystal-based non-volatile memory devices

    International Nuclear Information System (INIS)

    Ng, C.Y.; Chen, T.P.; Sreeduth, D.; Chen, Q.; Ding, L.; Du, A.

    2006-01-01

    In this work, we have investigated the performance and reliability of a Flash memory based on silicon nanocrystal synthesized with very-low energy ion beams. The devices are fabricated with a conventional CMOS process and the size of the nanocrystal is ∼ 4 nm as determined from TEM measurement. Electrical properties of the devices with a tunnel oxide of either 3 nm or 7 nm are evaluated. The devices exhibit good endurance up to 10 5 W/E cycles even at the high operation temperature of 85 deg. C for both the tunnel oxide thicknesses. For the thicker tunnel oxide (i.e., the 7-nm tunnel oxide), a good retention performance with an extrapolated 10-year memory window of ∼ 0.3 V (or ∼ 20% of charge lose after 10 years) is achieved. However, ∼ 70% of charge loss after 10 years is expected for the thinner tunnel oxide (i.e., the 3-nm tunnel oxide)

  7. Scalable printed electronics: an organic decoder addressing ferroelectric non-volatile memory

    Science.gov (United States)

    Ng, Tse Nga; Schwartz, David E.; Lavery, Leah L.; Whiting, Gregory L.; Russo, Beverly; Krusor, Brent; Veres, Janos; Bröms, Per; Herlogsson, Lars; Alam, Naveed; Hagel, Olle; Nilsson, Jakob; Karlsson, Christer

    2012-01-01

    Scalable circuits of organic logic and memory are realized using all-additive printing processes. A 3-bit organic complementary decoder is fabricated and used to read and write non-volatile, rewritable ferroelectric memory. The decoder-memory array is patterned by inkjet and gravure printing on flexible plastics. Simulation models for the organic transistors are developed, enabling circuit designs tolerant of the variations in printed devices. We explain the key design rules in fabrication of complex printed circuits and elucidate the performance requirements of materials and devices for reliable organic digital logic. PMID:22900143

  8. High-performance non-volatile organic ferroelectric memory on banknotes

    KAUST Repository

    Khan, Yasser

    2012-03-21

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. High-performance non-volatile organic ferroelectric memory on banknotes.

    Science.gov (United States)

    Khan, M A; Bhansali, Unnat S; Alshareef, H N

    2012-04-24

    High-performance non-volatile polymer ferroelectric memory are fabricated on banknotes using poly(vinylidene fluoride trifluoroethylene). The devices show excellent performance with high remnant polarization, low operating voltages, low leakage, high mobility, and long retention times. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Organic non-volatile memories from ferroelectric phase-separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago M.; de Boer, Bert; Blom, Paul W. M.

    2008-07-01

    New non-volatile memories are being investigated to keep up with the organic-electronics road map. Ferroelectric polarization is an attractive physical property as the mechanism for non-volatile switching, because the two polarizations can be used as two binary levels. However, in ferroelectric capacitors the read-out of the polarization charge is destructive. The functionality of the targeted memory should be based on resistive switching. In inorganic ferroelectrics conductivity and ferroelectricity cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. Here we present an integrated solution by blending semiconducting and ferroelectric polymers into phase-separated networks. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-metal contact. The combination of ferroelectric bistability with (semi)conductivity and rectification allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read out non-destructively. The concept of an electrically tunable injection barrier as presented here is general and can be applied to other electronic devices such as light-emitting diodes with an integrated on/off switch.

  11. Low-temperature process steps for realization of non-volatile memory devices

    NARCIS (Netherlands)

    Brunets, I.; Boogaard, A.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.; Holleman, J.; Schmitz, Jurriaan

    2007-01-01

    In this work, the low-temperature process steps required for the realization of nano-crystal non-volatile memory cells are discussed. An amorphous silicon film, crystallized using a diode pumped solid state green laser irradiating at 532 nm, is proposed as an active layer. The deposition of the

  12. Forced Ion Migration for Chalcogenide Phase Change Memory Device

    Science.gov (United States)

    Campbell, Kristy A (Inventor)

    2013-01-01

    Non-volatile memory devices with two stacked layers of chalcogenide materials comprising the active memory device have been investigated for their potential as phase-change memories. The devices tested included GeTe/SnTe, Ge2Se3/SnTe, and Ge2Se3/SnSe stacks. All devices exhibited resistance switching behavior. The polarity of the applied voltage with respect to the SnTe or SnSe layer was critical to the memory switching properties, due to the electric field induced movement of either Sn or Te into the Ge-chalcogenide layer. One embodiment of the invention is a device comprising a stack of chalcogenide-containing layers which exhibit phase-change switching only after a reverse polarity voltage potential is applied across the stack causing ion movement into an adjacent layer and thus "activating" the device to act as a phase-change random access memory device or a reconfigurable electronics device when the applied voltage potential is returned to the normal polarity. Another embodiment of the invention is a device that is capable of exhibiting more than two data states.

  13. A direct metal transfer method for cross-bar type polymer non-volatile memory applications

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Lee, Kyeongmi; Oh, Seung-Hwan; Wang, Gunuk; Kim, Dong-Yu; Jung, Gun-Young; Lee, Takhee

    2008-01-01

    Polymer non-volatile memory devices in 8 x 8 array cross-bar architecture were fabricated by a non-aqueous direct metal transfer (DMT) method using a two-step thermal treatment. Top electrodes with a linewidth of 2 μm were transferred onto the polymer layer by the DMT method. The switching behaviour of memory devices fabricated by the DMT method was very similar to that of devices fabricated by the conventional shadow mask method. The devices fabricated using the DMT method showed three orders of magnitude of on/off ratio with stable resistance switching, demonstrating that the DMT method can be a simple process to fabricate organic memory array devices

  14. Low-power non-volatile spintronic memory: STT-RAM and beyond

    International Nuclear Information System (INIS)

    Wang, K L; Alzate, J G; Khalili Amiri, P

    2013-01-01

    The quest for novel low-dissipation devices is one of the most critical for the future of semiconductor technology and nano-systems. The development of a low-power, universal memory will enable a new paradigm of non-volatile computation. Here we consider STT-RAM as one of the emerging candidates for low-power non-volatile memory. We show different configurations for STT memory and demonstrate strategies to optimize key performance parameters such as switching current and energy. The energy and scaling limits of STT-RAM are discussed, leading us to argue that alternative writing mechanisms may be required to achieve ultralow power dissipation, a necessary condition for direct integration with CMOS at the gate level for non-volatile logic purposes. As an example, we discuss the use of the giant spin Hall effect as a possible alternative to induce magnetization reversal in magnetic tunnel junctions using pure spin currents. Further, we concentrate on magnetoelectric effects, where electric fields are used instead of spin-polarized currents to manipulate the nanomagnets, as another candidate solution to address the challenges of energy efficiency and density. The possibility of an electric-field-controlled magnetoelectric RAM as a promising candidate for ultralow-power non-volatile memory is discussed in the light of experimental data demonstrating voltage-induced switching of the magnetization and reorientation of the magnetic easy axis by electric fields in nanomagnets. (paper)

  15. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  16. Coexistence of nonvolatility and volatility in Pt/Nb-doped SrTiO3/In memristive devices

    International Nuclear Information System (INIS)

    Yang, M; Bao, D H; Li, S W

    2013-01-01

    Memristive devices are triggering innovations in the fields of nonvolatile memory, digital logic, analogue circuits, neuromorphic engineering, and so on. Creating new memristive devices with unique characteristics would be significant for these emergent applications. Here we report the coexistence of nonvolatility and volatility in Pt/Nb-doped SrTiO 3 (NSTO)/In memristive devices. The Pt/NSTO interface contributes a nonvolatile resistive switching behaviour, whereas the NSTO/In interface displays a volatile hysteresis loop. Combining the two interfaces in the Pt/NSTO/In devices leads to the unique coexistence of nonvolatility and volatility. The results imply more opportunities to invent new memristive devices by engineering both interfaces in metal/insulator/metal structures. (paper)

  17. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  18. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  19. Bias-reduced estimation of long memory stochastic volatility

    DEFF Research Database (Denmark)

    Frederiksen, Per; Nielsen, Morten Ørregaard

    We propose to use a variant of the local polynomial Whittle estimator to estimate the memory parameter in volatility for long memory stochastic volatility models with potential nonstation- arity in the volatility process. We show that the estimator is asymptotically normal and capable of obtaining...

  20. The origin of traps and the effect of nitrogen plasma in oxide-nitride-oxide structures for non-volatile memories

    International Nuclear Information System (INIS)

    Kim, W. S.; Kwak, D. W.; Oh, J. S.; Lee, D. W.; Cho, H. Y.

    2010-01-01

    Ultrathin oxide-nitride-oxide (ONO) dielectric stacked layers are fundamental structures of silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory devices in which information is known to be stored as charges trapped in silicon nitride. Deep-level transient spectroscopy (DLTS) and a capacitance-voltage (CV) analysis were introduced to observe the trap behavior related to the memory effect in memory devices. The DLTS results verified that the nitride-related traps were a dominant factor in the memory effect. The energy of hole traps was 0.307 eV above the balance band. To improve the memory effects of the non-volatile memory devices with ONO structures, we introduced a nitrogen plasma treatment. After the N-plasma treatment, the flat-band voltage shift (ΔV FB ) was increased by about 1.5 times. The program and the erase (P-E) characteristics were also shown to be better than those for the as-ONO structure. In addition, the retention characteristics were improved by over 2.4 times.

  1. Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template

    International Nuclear Information System (INIS)

    Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo

    2008-01-01

    We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented

  2. Method for refreshing a non-volatile memory

    Science.gov (United States)

    Riekels, James E.; Schlesinger, Samuel

    2008-11-04

    A non-volatile memory and a method of refreshing a memory are described. The method includes allowing an external system to control refreshing operations within the memory. The memory may generate a refresh request signal and transmit the refresh request signal to the external system. When the external system finds an available time to process the refresh request, the external system acknowledges the refresh request and transmits a refresh acknowledge signal to the memory. The memory may also comprise a page register for reading and rewriting a data state back to the memory. The page register may comprise latches in lieu of supplemental non-volatile storage elements, thereby conserving real estate within the memory.

  3. Long-term memory and volatility clustering in high-frequency price changes

    Science.gov (United States)

    oh, Gabjin; Kim, Seunghwan; Eom, Cheoljun

    2008-02-01

    We studied the long-term memory in diverse stock market indices and foreign exchange rates using Detrended Fluctuation Analysis (DFA). For all high-frequency market data studied, no significant long-term memory property was detected in the return series, while a strong long-term memory property was found in the volatility time series. The possible causes of the long-term memory property were investigated using the return data filtered by the AR(1) model, reflecting the short-term memory property, the GARCH(1,1) model, reflecting the volatility clustering property, and the FIGARCH model, reflecting the long-term memory property of the volatility time series. The memory effect in the AR(1) filtered return and volatility time series remained unchanged, while the long-term memory property diminished significantly in the volatility series of the GARCH(1,1) filtered data. Notably, there is no long-term memory property, when we eliminate the long-term memory property of volatility by the FIGARCH model. For all data used, although the Hurst exponents of the volatility time series changed considerably over time, those of the time series with the volatility clustering effect removed diminish significantly. Our results imply that the long-term memory property of the volatility time series can be attributed to the volatility clustering observed in the financial time series.

  4. Three-terminal resistive switching memory in a transparent vertical-configuration device

    International Nuclear Information System (INIS)

    Ungureanu, Mariana; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E.

    2014-01-01

    The resistive switching phenomenon has attracted much attention recently for memory applications. It describes the reversible change in the resistance of a dielectric between two non-volatile states by the application of electrical pulses. Typical resistive switching memories are two-terminal devices formed by an oxide layer placed between two metal electrodes. Here, we report on the fabrication and operation of a three-terminal resistive switching memory that works as a reconfigurable logic component and offers an increased logic density on chip. The three-terminal memory device we present is transparent and could be further incorporated in transparent computing electronic technologies

  5. Soluble dendrimers europium(III) β-diketonate complex for organic memory devices

    International Nuclear Information System (INIS)

    Wang Binbin; Fang Junfeng; Li Bin; You Han; Ma Dongge; Hong Ziruo; Li Wenlian; Su Zhongmin

    2008-01-01

    We report the synthesis of a soluble dendrimers europium(III) complex, tris(dibenzoylmethanato)(1,3,5-tris[2-(2'-pyridyl) benzimidazoly]methylbenzene)-europium(III), and its application in organic electrical bistable memory device. Excellent stability that ensured more than 10 6 write-read-erase-reread cycles has been performed in ambient conditions without current-induced degradation. High-density, low-cost memory, good film-firming property, fascinating thermal and morphological stability allow the application of the dendrimers europium(III) complex as an active medium in non-volatile memory devices

  6. Robust resistive memory devices using solution-processable metal-coordinated azo aromatics

    Science.gov (United States)

    Goswami, Sreetosh; Matula, Adam J.; Rath, Santi P.; Hedström, Svante; Saha, Surajit; Annamalai, Meenakshi; Sengupta, Debabrata; Patra, Abhijeet; Ghosh, Siddhartha; Jani, Hariom; Sarkar, Soumya; Motapothula, Mallikarjuna Rao; Nijhuis, Christian A.; Martin, Jens; Goswami, Sreebrata; Batista, Victor S.; Venkatesan, T.

    2017-12-01

    Non-volatile memories will play a decisive role in the next generation of digital technology. Flash memories are currently the key player in the field, yet they fail to meet the commercial demands of scalability and endurance. Resistive memory devices, and in particular memories based on low-cost, solution-processable and chemically tunable organic materials, are promising alternatives explored by the industry. However, to date, they have been lacking the performance and mechanistic understanding required for commercial translation. Here we report a resistive memory device based on a spin-coated active layer of a transition-metal complex, which shows high reproducibility (~350 devices), fast switching (106 s) and scalability (down to ~60 nm2). In situ Raman and ultraviolet-visible spectroscopy alongside spectroelectrochemistry and quantum chemical calculations demonstrate that the redox state of the ligands determines the switching states of the device whereas the counterions control the hysteresis. This insight may accelerate the technological deployment of organic resistive memories.

  7. Models for Total-Dose Radiation Effects in Non-Volatile Memory

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Philip Montgomery; Wix, Steven D.

    2017-04-01

    The objective of this work is to develop models to predict radiation effects in non- volatile memory: flash memory and ferroelectric RAM. In flash memory experiments have found that the internal high-voltage generators (charge pumps) are the most sensitive to radiation damage. Models are presented for radiation effects in charge pumps that demonstrate the experimental results. Floating gate models are developed for the memory cell in two types of flash memory devices by Intel and Samsung. These models utilize Fowler-Nordheim tunneling and hot electron injection to charge and erase the floating gate. Erase times are calculated from the models and compared with experimental results for different radiation doses. FRAM is less sensitive to radiation than flash memory, but measurements show that above 100 Krad FRAM suffers from a large increase in leakage current. A model for this effect is developed which compares closely with the measurements.

  8. Consequences for option pricing of a long memory in volatility

    OpenAIRE

    Taylor, S J

    2001-01-01

    The economic consequences of a long memory assumption about volatility are documented, by comparing implied volatilities for option prices obtained from short and long memory volatility processes. Numerical results are given for options on the S&P 100 index from 1984 to 1998, with lives up to two years. The long memory assumption is found to have a significant impact upon the term structure of implied volatilities and a relatively minor impact upon smile effects. These conclusions are importa...

  9. Long Memory in Stock Market Volatility and the Volatility-in-Mean Effect: The FIEGARCH-M Model

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard; Zhu, Jie

    We extend the fractionally integrated exponential GARCH (FIEGARCH) model for daily stock return data with long memory in return volatility of Bollerslev and Mikkelsen (1996) by introducing a possible volatility-in-mean effect. To avoid that the long memory property of volatility carries over to r...

  10. Quasi-unipolar pentacene films embedded with fullerene for non-volatile organic transistor memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juhee; Lee, Sungpyo; Lee, Moo Hyung; Kang, Moon Sung, E-mail: mskang@ssu.ac.kr [Department of Chemical Engineering, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2015-02-09

    Quasi-unipolar non-volatile organic transistor memory (NOTM) can combine the best characteristics of conventional unipolar and ambipolar NOTMs and, as a result, exhibit improved device performance. Unipolar NOTMs typically exhibit a large signal ratio between the programmed and erased current signals but also require a large voltage to program and erase the memory cells. Meanwhile, an ambipolar NOTM can be programmed and erased at lower voltages, but the resulting signal ratio is small. By embedding a discontinuous n-type fullerene layer within a p-type pentacene film, quasi-unipolar NOTMs are fabricated, of which the signal storage utilizes both electrons and holes while the electrical signal relies on only hole conduction. These devices exhibit superior memory performance relative to both pristine unipolar pentacene devices and ambipolar fullerene/pentacene bilayer devices. The quasi-unipolar NOTM exhibited a larger signal ratio between the programmed and erased states while also reducing the voltage required to program and erase a memory cell. This simple approach should be readily applicable for various combinations of advanced organic semiconductors that have been recently developed and thereby should make a significant impact on organic memory research.

  11. New memory devices based on the proton transfer process

    Science.gov (United States)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices.

  12. Hybrid superconducting-magnetic memory device using competing order parameters.

    Science.gov (United States)

    Baek, Burm; Rippard, William H; Benz, Samuel P; Russek, Stephen E; Dresselhaus, Paul D

    2014-05-28

    In a hybrid superconducting-magnetic device, two order parameters compete, with one type of order suppressing the other. Recent interest in ultra-low-power, high-density cryogenic memories has spurred new efforts to simultaneously exploit superconducting and magnetic properties so as to create novel switching elements having these two competing orders. Here we describe a reconfigurable two-layer magnetic spin valve integrated within a Josephson junction. Our measurements separate the suppression in the superconducting coupling due to the exchange field in the magnetic layers, which causes depairing of the supercurrent, from the suppression due to the stray magnetic field. The exchange field suppression of the superconducting order parameter is a tunable and switchable behaviour that is also scalable to nanometer device dimensions. These devices demonstrate non-volatile, size-independent switching of Josephson coupling, in magnitude as well as phase, and they may enable practical nanoscale superconducting memory devices.

  13. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso; Khan, M. A.; Alshareef, Husam N.

    2014-01-01

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  14. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2014-06-10

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  15. Fabrication and electrical characterization of a MOS memory device containing self-assembled metallic nanoparticles

    Science.gov (United States)

    Sargentis, Ch.; Giannakopoulos, K.; Travlos, A.; Tsamakis, D.

    2007-04-01

    Floating gate devices with nanoparticles embedded in dielectrics have recently attracted much attention due to the fact that these devices operate as non-volatile memories with high speed, high density and low power consumption. In this paper, memory devices containing gold (Au) nanoparticles have been fabricated using e-gun evaporation. The Au nanoparticles are deposited on a very thin SiO 2 layer and are then fully covered by a HfO 2 layer. The HfO 2 is a high- k dielectric and gives good scalability to the fabricated devices. We studied the effect of the deposition parameters to the size and the shape of the Au nanoparticles using capacitance-voltage and conductance-voltage measurements, we demonstrated that the fabricated device can indeed operate as a low-voltage memory device.

  16. New memory devices based on the proton transfer process

    International Nuclear Information System (INIS)

    Wierzbowska, Małgorzata

    2016-01-01

    Memory devices operating due to the fast proton transfer (PT) process are proposed by the means of first-principles calculations. Writing  information is performed using the electrostatic potential of scanning tunneling microscopy (STM). Reading information is based on the effect of the local magnetization induced at the zigzag graphene nanoribbon (Z-GNR) edge—saturated with oxygen or the hydroxy group—and can be realized with the use of giant magnetoresistance (GMR), a magnetic tunnel junction or spin-transfer torque devices. The energetic barriers for the hop forward and backward processes can be tuned by the distance and potential of the STM tip; this thus enables us to tailor the non-volatile logic states. The proposed system enables very dense packing of the logic cells and could be used in random access and flash memory devices. (paper)

  17. Active non-volatile memory post-processing

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Sudarsun; Milojicic, Dejan S.; Talwar, Vanish

    2017-04-11

    A computing node includes an active Non-Volatile Random Access Memory (NVRAM) component which includes memory and a sub-processor component. The memory is to store data chunks received from a processor core, the data chunks comprising metadata indicating a type of post-processing to be performed on data within the data chunks. The sub-processor component is to perform post-processing of said data chunks based on said metadata.

  18. A graphene-based non-volatile memory

    Science.gov (United States)

    Loisel, Loïc.; Maurice, Ange; Lebental, Bérengère; Vezzoli, Stefano; Cojocaru, Costel-Sorin; Tay, Beng Kang

    2015-09-01

    We report on the development and characterization of a simple two-terminal non-volatile graphene switch. After an initial electroforming step during which Joule heating leads to the formation of a nano-gap impeding the current flow, the devices can be switched reversibly between two well-separated resistance states. To do so, either voltage sweeps or pulses can be used, with the condition that VSET achieve reversible switching on more than 100 cycles with resistance ratio values of 104. This approach of graphene memory is competitive as compared to other graphene approaches such as redox of graphene oxide, or electro-mechanical switches with suspended graphene. We suggest a switching model based on a planar electro-mechanical switch, whereby electrostatic, elastic and friction forces are competing to switch devices ON and OFF, and the stability in the ON state is achieved by the formation of covalent bonds between the two stretched sides of the graphene, hence bridging the nano-gap. Developing a planar electro-mechanical switch enables to obtain the advantages of electro-mechanical switches while avoiding most of their drawbacks.

  19. Highly conducting leakage-free electrolyte for SrCoOx-based non-volatile memory device

    Science.gov (United States)

    Katase, Takayoshi; Suzuki, Yuki; Ohta, Hiromichi

    2017-10-01

    The electrochemical switching of SrCoOx-based non-volatile memory with a thin-film-transistor structure was examined by using liquid-leakage-free electrolytes with different conductivities (σ) as the gate insulator. We first examined leakage-free water, which is incorporated in the amorphous (a-) 12CaO.7Al2O3 film with a nanoporous structure (Calcium Aluminate with Nanopore), but the electrochemical oxidation/reduction of the SrCoOx layer required the application of a high gate voltage (Vg) up to 20 V for a very long current-flowing-time (t) ˜40 min, primarily due to the low σ [2.0 × 10-8 S cm-1 at room temperature (RT)] of leakage-free water. We then controlled the σ of the leakage-free electrolyte, infiltrated in the a-NaxTaO3 film with a nanopillar array structure, from 8.0 × 10-8 S cm-1 to 2.5 × 10-6 S cm-1 at RT by changing the x = 0.01-1.0. As the result, the t, required for the metallization of the SrCoOx layer under small Vg = -3 V, becomes two orders of magnitude shorter with increase of the σ of the a-NaxTaO3 leakage-free electrolyte. These results indicate that the ion migration in the leakage-free electrolyte is the rate-determining step for the electrochemical switching, compared to the other electrochemical process, and the high σ of the leakage-free electrolyte is the key factor for the development of the non-volatile SrCoOx-based electro-magnetic phase switching device.

  20. Long Memory in STOCK Market Volatility: the International Evidence

    Science.gov (United States)

    Yang, Chunxia; Hu, Sen; Xia, Bingying; Wang, Rui

    2012-08-01

    It is still a hot topic to catch the auto-dependence behavior of volatility. Here, based on the measurement of average volatility, under different observation window size, we investigated the dependence of successive volatility of several main stock indices and their simulated GARCH(1, 1) model, there were obvious linear auto-dependence in the logarithm of volatility under a small observation window size and nonlinear auto-dependence under a big observation. After calculating the correlation and mutual information of the logarithm of volatility for Dow Jones Industrial Average during different periods, we find that some influential events can change the correlation structure and the volatilities of different periods have distinct influence on that of the remote future. Besides, GARCH model could produce similar behavior of dependence as real data and long memory property. But our analyses show that the auto-dependence of volatility in GARCH is different from that in real data, and the long memory is undervalued by GARCH.

  1. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Science.gov (United States)

    Riente, Fabrizio; Ziemys, Grazvydas; Mattersdorfer, Clemens; Boche, Silke; Turvani, Giovanna; Raberg, Wolfgang; Luber, Sebastian; Breitkreutz-v. Gamm, Stephan

    2017-05-01

    Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML) is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  2. Controlled data storage for non-volatile memory cells embedded in nano magnetic logic

    Directory of Open Access Journals (Sweden)

    Fabrizio Riente

    2017-05-01

    Full Text Available Among the beyond-CMOS technologies, perpendicular Nano Magnetic Logic (pNML is a promising candidate due to its low power consumption, its non-volatility and its monolithic 3D integrability, which makes it possible to integrate memory and logic into the same device by exploiting the interaction of bi-stable nanomagnets with perpendicular magnetic anisotropy. Logic computation and signal synchronization are achieved by focus ion beam irradiation and by pinning domain walls in magnetic notches. However, in realistic circuits, the information storage and their read-out are crucial issues, often ignored in the exploration of beyond-CMOS devices. In this paper we address these issues by experimentally demonstrating a pNML memory element, whose read and write operations can be controlled by two independent pulsed currents. Our results prove the correct behavior of the proposed structure that enables high density memory embedded in the logic plane of 3D-integrated pNML circuits.

  3. Long memory and tail dependence in trading volume and volatility

    DEFF Research Database (Denmark)

    Rossi, Eduardo; Santucci de Magistris, Paolo

    2013-01-01

    We investigate the relationship between volatility, measured by realized volatility, and trading volume for 25 NYSE stocks. We show that volume and volatility are long memory but not fractionally cointegrated in most cases. We also find right tail dependence in the volatility and volume innovations...

  4. Nanoscale memory devices

    International Nuclear Information System (INIS)

    Chung, Andy; Deen, Jamal; Lee, Jeong-Soo; Meyyappan, M

    2010-01-01

    This article reviews the current status and future prospects for the use of nanomaterials and devices in memory technology. First, the status and continuing scaling trends of the flash memory are discussed. Then, a detailed discussion on technologies trying to replace flash in the near-term is provided. This includes phase change random access memory, Fe random access memory and magnetic random access memory. The long-term nanotechnology prospects for memory devices include carbon-nanotube-based memory, molecular electronics and memristors based on resistive materials such as TiO 2 . (topical review)

  5. Testing for long memory in volatility in the Indian Forex market

    Directory of Open Access Journals (Sweden)

    Kumar Anoop S.

    2014-01-01

    Full Text Available This article attempts to verify the presence of long memory in volatility in the Indian foreign exchange market using daily bilateral returns of the Indian Rupee against the US dollar from 17/02/1994 to 08/11/2013. In the first part of the analysis the presence of long-term dependence is confirmed in the return series as well as in two measures of unconditional volatility (absolute returns and squared returns by employing three measures of long memory. Next, the presence of long memory in conditional volatility is tested using ARMA-FIGARCH and ARMA-FIAPARCH models under various distributional assumptions. The results confirm the presence of long memory in conditional variance for two models. In the last part, the presence of long memory in conditional mean and conditional variance is verified using ARFIMA-FIGARCH and ARFIMA-FIAPARCH models. It is also found that long-memory models fare well compared to short-memory models in sample forecast performance.

  6. An overview of Experimental Condensed Matter Physics in Argentina by 2014, and Oxides for Non Volatile Memory Devices: The MeMOSat Project

    Science.gov (United States)

    Levy, Pablo

    2015-03-01

    In the first part of my talk, I will describe the status of the experimental research in Condensed Matter Physics in Argentina, biased towards developments related to micro and nanotechnology. In the second part, I will describe the MeMOSat Project, a consortium aimed at producing non-volatile memory devices to work in aggressive environments, like those found in the aerospace and nuclear industries. Our devices rely on the Resistive Switching mechanism, which produces a permanent but reversible change in the electrical resistance across a metal-insulator-metal structure by means of a pulsed protocol of electrical stimuli. Our project is devoted to the study of Memory Mechanisms in Oxides (MeMO) in order to establish a technological platform that tests the Resistive RAM (ReRAM) technology for aerospace applications. A review of MeMOSat's activities is presented, covering the initial Proof of Concept in ceramic millimeter sized samples; the study of different oxide-metal couples including (LaPr)2/3Ca1/3MnO, La2/3Ca1/3MnO3, YBa2Cu3O7, TiO2, HfO2, MgO and CuO; and recent miniaturized arrays of micrometer sized devices controlled by in-house designed electronics, which were launched with the BugSat01 satellite in June2014 by the argentinian company Satellogic.

  7. Computing with volatile memristors: an application of non-pinched hysteresis

    Science.gov (United States)

    Pershin, Y. V.; Shevchenko, S. N.

    2017-02-01

    The possibility of in-memory computing with volatile memristive devices, namely, memristors requiring a power source to sustain their memory, is demonstrated theoretically. We have adopted a hysteretic graphene-based field emission structure as a prototype of a volatile memristor, which is characterized by a non-pinched hysteresis loop. A memristive model of the structure is developed and used to simulate a polymorphic circuit implementing stateful logic gates, such as the material implication. Specific regions of parameter space realizing useful logic functions are identified. Our results are applicable to other realizations of volatile memory devices, such as certain NEMS switches.

  8. The Effect of Long Memory in Volatility on Stock Market Fluctuations

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; Nielsen, Morten Ørregaard

    2007-01-01

    Recent empirical evidence demonstrates the presence of an important long memory component in realized asset return volatility. We specify and estimate multivariate models for the joint dynamics of stock returns and volatility that allow for long memory in volatility without imposing this property...... on returns. Asset pricing theory imposes testable cross-equation restrictions on the system that are not rejected in our preferred specifications, which include a strong financial leverage effect. We show that the impact of volatility shocks on stock prices is small and short-lived, in spite of a positive...

  9. Laser Nanosoldering of Golden and Magnetite Particles and its Possible Application in 3D Printing Devices and Four-Valued Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Jaworski Jacek

    2015-12-01

    Full Text Available In recent years the 3D printing methods have been developing rapidly. This article presents researches about a new composite consisted of golden and magnetite nanoparticles which could be used for this technique. Preparation of golden nanoparticles by laser ablation and their soldering by laser green light irradiation proceeded in water environment. Magnetite was obtained on chemical way. During experiments it was tested a change of a size of nanoparticles during laser irradiation, surface plasmon resonance, zeta potential. The obtained golden - magnetite composite material was magnetic after laser irradiation. On the end there was considered the application it for 3D printing devices, water filters and four-valued non-volatile memories.

  10. A new DRAM-type memory devices based on polymethacrylate containing pendant 2-methylbenzothiazole

    International Nuclear Information System (INIS)

    Wang Dong; Li Hua; Li Najun; Zhao Ying; Zhou Qianhao; Xu Qingfeng; Lu Jianmei; Wang Lihua

    2012-01-01

    Graphical abstract: The devices fabricated with 75 nm and 45 nm thick pBVMA films were both found to exhibit DRAM type memory behaviors, which may indicate that the Al nanoparticles had no penetration into the thin film during the vacuum-deposition process. Highlights: ► The side-functional moieties of pBVMA regularly arranged in film state. ► The device exhibits volatile memory behavior with an ON/OFF current ratio up to 10 5 . ► The film thickness has nothing to do with the device's memory behavior. ► Physical theoretical models and molecular simulation supported the memory mechanism. - Abstract: A polymethacrylate containing pendant 2-methylbenzothiazole (pBVMA) with good thermal stability was synthesized by free radical polymerization. The devices based on pBVMA possess a sandwich structure comprising bottom indium-tin oxide (ITO) electrode and top Al electrode. The as-fabricated device exhibits the dynamic random access memory (DRAM) behavior with an ON/OFF current ratio up to 10 5 and can endure 10 8 read cycles under −1 V pulse voltage. The effect of the film thickness on the device performance was investigated and the devices fabricated with 75 nm and 45 nm thick pBVMA films were both found to exhibit DRAM type memory behaviors, which may indicate that the Al nanoparticles had no penetration into the thin film during the vacuum-deposition process. The molecular simulation and physical theoretical models were analyzed and the mechanism of the DRAM performance may be attributed to the weak electron withdrawing ability of the molecule.

  11. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  12. Organic non-volatile memories from ferroelectric phase separated blends

    Science.gov (United States)

    Asadi, Kamal; de Leeuw, Dago; de Boer, Bert; Blom, Paul

    2009-03-01

    Ferroelectric polarisation is an attractive physical property for non-volatile binary switching. The functionality of the targeted memory should be based on resistive switching. Conductivity and ferroelectricity however cannot be tuned independently. The challenge is to develop a storage medium in which the favourable properties of ferroelectrics such as bistability and non-volatility can be combined with the beneficial properties provided by semiconductors such as conductivity and rectification. In this contribution we present an integrated solution by blending semiconducting and ferroelectric polymers into phase separated networks. The polarisation field of the ferroelectric modulates the injection barrier at the semiconductor--metal contact. This combination allows for solution-processed non-volatile memory arrays with a simple cross-bar architecture that can be read-out non-destructively. Based on this general concept a non-volatile, reversible switchable Schottky diode with relatively fast programming time of shorter than 100 microseconds, long information retention time of longer than 10^ days, and high programming cycle endurance with non-destructive read-out is demonstrated.

  13. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    International Nuclear Information System (INIS)

    Jovanović, B.; Brum, R. M.; Torres, L.

    2014-01-01

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption

  14. A hybrid magnetic/complementary metal oxide semiconductor three-context memory bit cell for non-volatile circuit design

    Energy Technology Data Exchange (ETDEWEB)

    Jovanović, B., E-mail: bojan.jovanovic@lirmm.fr, E-mail: lionel.torres@lirmm.fr; Brum, R. M.; Torres, L. [LIRMM—University of Montpellier 2/UMR CNRS 5506, 161 Rue Ada, 34095 Montpellier (France)

    2014-04-07

    After decades of continued scaling to the beat of Moore's law, it now appears that conventional silicon based devices are approaching their physical limits. In today's deep-submicron nodes, a number of short-channel and quantum effects are emerging that affect the manufacturing process, as well as, the functionality of the microelectronic systems-on-chip. Spintronics devices that exploit both the intrinsic spin of the electron and its associated magnetic moment, in addition to its fundamental electronic charge, are promising solutions to circumvent these scaling threats. Being compatible with the CMOS technology, such devices offer a promising synergy of radiation immunity, infinite endurance, non-volatility, increased density, etc. In this paper, we present a hybrid (magnetic/CMOS) cell that is able to store and process data both electrically and magnetically. The cell is based on perpendicular spin-transfer torque magnetic tunnel junctions (STT-MTJs) and is suitable for use in magnetic random access memories and reprogrammable computing (non-volatile registers, processor cache memories, magnetic field-programmable gate arrays, etc). To demonstrate the potential our hybrid cell, we physically implemented a small hybrid memory block using 45 nm × 45 nm round MTJs for the magnetic part and 28 nm fully depleted silicon on insulator (FD-SOI) technology for the CMOS part. We also report the cells measured performances in terms of area, robustness, read/write speed and energy consumption.

  15. High performance non-volatile ferroelectric copolymer memory based on a ZnO nanowire transistor fabricated on a transparent substrate

    International Nuclear Information System (INIS)

    Nedic, Stanko; Welland, Mark; Tea Chun, Young; Chu, Daping; Hong, Woong-Ki

    2014-01-01

    A high performance ferroelectric non-volatile memory device based on a top-gate ZnO nanowire (NW) transistor fabricated on a glass substrate is demonstrated. The ZnO NW channel was spin-coated with a poly (vinylidenefluoride-co-trifluoroethylene) (P(VDF-TrFE)) layer acting as a top-gate dielectric without buffer layer. Electrical conductance modulation and memory hysteresis are achieved by a gate electric field induced reversible electrical polarization switching of the P(VDF-TrFE) thin film. Furthermore, the fabricated device exhibits a memory window of ∼16.5 V, a high drain current on/off ratio of ∼10 5 , a gate leakage current below ∼300 pA, and excellent retention characteristics for over 10 4 s

  16. Origami-based tunable truss structures for non-volatile mechanical memory operation.

    Science.gov (United States)

    Yasuda, Hiromi; Tachi, Tomohiro; Lee, Mia; Yang, Jinkyu

    2017-10-17

    Origami has recently received significant interest from the scientific community as a method for designing building blocks to construct metamaterials. However, the primary focus has been placed on their kinematic applications by leveraging the compactness and auxeticity of planar origami platforms. Here, we present volumetric origami cells-specifically triangulated cylindrical origami (TCO)-with tunable stability and stiffness, and demonstrate their feasibility as non-volatile mechanical memory storage devices. We show that a pair of TCO cells can develop a double-well potential to store bit information. What makes this origami-based approach more appealing is the realization of two-bit mechanical memory, in which two pairs of TCO cells are interconnected and one pair acts as a control for the other pair. By assembling TCO-based truss structures, we experimentally verify the tunable nature of the TCO units and demonstrate the operation of purely mechanical one- and two-bit memory storage prototypes.Origami is a popular method to design building blocks for mechanical metamaterials. Here, the authors assemble a volumetric origami-based structure, predict its axial and rotational movements during folding, and demonstrate the operation of mechanical one- and two-bit memory storage.

  17. True or spurious long memory in volatility: Further evidence on the energy futures markets

    International Nuclear Information System (INIS)

    Charfeddine, Lanouar

    2014-01-01

    The main goal of this paper is to investigate whether the long memory behavior observed in many volatility energy futures markets series is a spurious behavior or not. For this purpose, we employ a wide variety of advanced volatility models that allow for long memory and/or structural changes: the GARCH(1,1), the FIGARCH(1,d,1), the Adaptative-GARCH(1,1,k), and the Adaptative-FIGARCH(1,d,1,k) models. To compare forecasting ability of these models, we use out-of-sample forecasting performance. Using the crude oil, heating oil, gasoline and propane volatility futures energy time series with 1-month and 3-month maturities, we found that five out of the eight time series are characterized by both long memory and structural breaks. For these series, dates of breaks coincide with some major economics and financial events. For the three other time series, we found strong evidence of long memory in volatility. - Highlights: • This paper investigates the long memory properties of the futures energy volatility. • We estimate a variety of GARCH-class of models. • The Adaptative-FIGARCH(1,d,1,k) model has been used to account for both long memory and breaks. • 5 out of the 8 futures energy series are characterized by both long memory and structural breaks. • The 3 other series are characterized by only long range dependence in volatility

  18. Organic bistable memory devices based on MoO3 nanoparticle embedded Alq3 structures

    Science.gov (United States)

    Abhijith, T.; Kumar, T. V. Arun; Reddy, V. S.

    2017-03-01

    Organic bistable memory devices were fabricated by embedding a thin layer of molybdenum trioxide (MoO3) between two tris-(8-hydroxyquinoline)aluminum (Alq3) layers. The device exhibited excellent switching characteristics with an ON/OFF current ratio of 1.15 × 103 at a read voltage of 1 V. The device showed repeatable write-erase capability and good stability in both the conductance states. These conductance states are non-volatile in nature and can be obtained by applying appropriate voltage pulses. The effect of MoO3 layer thickness and its location in the Alq3 matrix on characteristics of the memory device was investigated. The field emission scanning electron microscopy (FE-SEM) images of the MoO3 layer revealed the presence of isolated nanoparticles. Based on the experimental results, a mechanism has been proposed for explaining the conductance switching of fabricated devices.

  19. In-chip optical CD measurements for non-volatile memory devices

    Science.gov (United States)

    Vasconi, Mauro; Kremer, Stephanie; Polli, M.; Severgnini, Ermes; Trovati, Silvia S.

    2006-03-01

    A potential limitation to a wider usage of the scatterometry technique for CD evaluation comes from its requirement of dedicated regular measurement gratings, located in wafer scribe lanes. In fact, the simplification of the original chip layout that is often requested to design these gratings may impact on their printed dimension and shape. Etched gratings might also suffer from micro-loading effects other than in the circuit. For all these reasons, measurements collected therein may not represent the real behavior of the device. On the other hand, memory devices come with large sectors that usually possess the characteristics required for a proper scatterometry evaluation. In particular, for a leading edge flash process this approach is in principle feasible for the most critical process steps. The impact of potential drawbacks, mainly lack of pattern regularity within the tool probe area, is investigated. More, a very large sampling plan on features with equal nominal CD and density spread over the same exposure shot becomes feasible, thus yielding a deeper insight of the overall lithographic process window and a quantitative method to evaluate process equipment performance along time by comparison to acceptance data and/or last preventive maintenance. All the results gathered in the device main array are compared to those collected in standard scatterometry targets, tailored to the characteristics of the considered layers in terms of designed CD, pitch, stack and orientation.

  20. Device and methods for writing and erasing analog information in small memory units via voltage pulses

    Science.gov (United States)

    El Gabaly Marquez, Farid; Talin, Albert Alec

    2018-04-17

    Devices and methods for non-volatile analog data storage are described herein. In an exemplary embodiment, an analog memory device comprises a potential-carrier source layer, a barrier layer deposited on the source layer, and at least two storage layers deposited on the barrier layer. The memory device can be prepared to write and read data via application of a biasing voltage between the source layer and the storage layers, wherein the biasing voltage causes potential-carriers to migrate into the storage layers. After initialization, data can be written to the memory device by application of a voltage pulse between two storage layers that causes potential-carriers to migrate from one storage layer to another. A difference in concentration of potential carriers caused by migration of potential-carriers between the storage layers results in a voltage that can be measured in order to read the written data.

  1. A room-temperature non-volatile CNT-based molecular memory cell

    Science.gov (United States)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  2. Effect of Ag nanoparticles on resistive switching of polyfluorene-based organic non-volatile memory devices

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Oh, Seung-Hwan; Choi, Hye-Jung; Wang, Gun-Uk; Kim, Dong-Yu; Hwang, Hyun-Sang; Lee, Tak-Hee

    2010-01-01

    The effects of Ag nanoparticles on the switching behavior of polyfluorene-based organic nonvolatile memory devices were investigated. Polyfluorene-derivatives (WPF-oxy-F) with and without Ag nanoparticles were synthesized, and the presence of Ag nanoparticles in Ag-WPF-oxy-F was identified by transmission electron microscopy and X-ray photoelectron spectroscopy analyses. The Ag-nanoparticles did not significantly affect the basic switching performances, such as the current-voltage characteristics, the distribution of on/off resistance, and the retention. The pulse switching time of Ag-WPF-oxy-F was faster than that of WPF-oxy-F. Ag-WPF-oxy-F memory devices showed an area dependence in the high resistance state, implying that formation of a Ag metallic channel for current conduction.

  3. Organic ferroelectric opto-electronic memories

    NARCIS (Netherlands)

    Asadi, K.; Li, M.; Blom, P.W.M.; Kemerink, M.; Leeuw, D.M. de

    2011-01-01

    Memory is a prerequisite for many electronic devices. Organic non-volatile memory devices based on ferroelectricity are a promising approach towards the development of a low-cost memory technology based on a simple cross-bar array. In this review article we discuss the latest developments in this

  4. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd [Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Bahar, Arifah [UTM Centre for Industrial and Applied Mathematics (UTM-CIAM), Universiti Teknologi Malaysia, 81310, Johor Bahru and Department of Mathematical Sciences, Faculty of Science, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia); Ting, Chee-Ming [Center for Biomedical Engineering, Universiti Teknologi Malaysia, 81310, Johor Bahru (Malaysia)

    2015-02-03

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  5. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    Science.gov (United States)

    Chen, Kho Chia; Bahar, Arifah; Kane, Ibrahim Lawal; Ting, Chee-Ming; Rahman, Haliza Abd

    2015-02-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well.

  6. Estimation of stochastic volatility with long memory for index prices of FTSE Bursa Malaysia KLCI

    International Nuclear Information System (INIS)

    Chen, Kho Chia; Kane, Ibrahim Lawal; Rahman, Haliza Abd; Bahar, Arifah; Ting, Chee-Ming

    2015-01-01

    In recent years, modeling in long memory properties or fractionally integrated processes in stochastic volatility has been applied in the financial time series. A time series with structural breaks can generate a strong persistence in the autocorrelation function, which is an observed behaviour of a long memory process. This paper considers the structural break of data in order to determine true long memory time series data. Unlike usual short memory models for log volatility, the fractional Ornstein-Uhlenbeck process is neither a Markovian process nor can it be easily transformed into a Markovian process. This makes the likelihood evaluation and parameter estimation for the long memory stochastic volatility (LMSV) model challenging tasks. The drift and volatility parameters of the fractional Ornstein-Unlenbeck model are estimated separately using the least square estimator (lse) and quadratic generalized variations (qgv) method respectively. Finally, the empirical distribution of unobserved volatility is estimated using the particle filtering with sequential important sampling-resampling (SIR) method. The mean square error (MSE) between the estimated and empirical volatility indicates that the performance of the model towards the index prices of FTSE Bursa Malaysia KLCI is fairly well

  7. Role of Non-Volatile Memories in Automotive and IoT Markets

    Science.gov (United States)

    2017-03-01

    Standard Manufacturing Supply Long Term Short to Medium Term Density Up to 16MB Up to 2MB IO Configuration Up to x128 Up to x32 Design for Test...Role of Non-Volatile Memories in Automotive and IoT Markets Vipin Tiwari Director, Business Development and Product Marketing SST – A Wholly Own...microcontrollers (MCU) and certainly one of the most challenging elements to master. This paper addresses the role of non-volatile memories for

  8. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    NARCIS (Netherlands)

    S. Peiris (Shelton); M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractIn recent years fractionally differenced processes have received a great deal of attention due to its flexibility in financial applications with long memory. This paper considers a class of models generated by Gegenbauer polynomials, incorporating the long memory in stochastic volatility

  9. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  10. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  11. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  12. Projected phase-change memory devices.

    Science.gov (United States)

    Koelmans, Wabe W; Sebastian, Abu; Jonnalagadda, Vara Prasad; Krebs, Daniel; Dellmann, Laurent; Eleftheriou, Evangelos

    2015-09-03

    Nanoscale memory devices, whose resistance depends on the history of the electric signals applied, could become critical building blocks in new computing paradigms, such as brain-inspired computing and memcomputing. However, there are key challenges to overcome, such as the high programming power required, noise and resistance drift. Here, to address these, we present the concept of a projected memory device, whose distinguishing feature is that the physical mechanism of resistance storage is decoupled from the information-retrieval process. We designed and fabricated projected memory devices based on the phase-change storage mechanism and convincingly demonstrate the concept through detailed experimentation, supported by extensive modelling and finite-element simulations. The projected memory devices exhibit remarkably low drift and excellent noise performance. We also demonstrate active control and customization of the programming characteristics of the device that reliably realize a multitude of resistance states.

  13. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    Science.gov (United States)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  14. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  15. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  16. Preparation and characterization of Sb2Se3 devices for memory applications

    Science.gov (United States)

    Shylashree, N.; Uma B., V.; Dhanush, S.; Abachi, Sagar; Nisarga, A.; Aashith, K.; Sangeetha B., G.

    2018-05-01

    In this paper, A phase change material of Sb2Se3 was proposed for non volatile memory application. The thin film device preparation and characterization were carried out. The deposition method used was vapor evaporation technique and a thickness of 180nm was deposited. The switching between the SET and RESET state is shown by the I-V characterization. The change of phase was studied using R-V characterization. Different fundamental modes were also identified using Raman spectroscopy.

  17. A study of selenium nanoparticles as charge storage element for flexible semi-transparent memory devices

    Science.gov (United States)

    Alotaibi, Sattam; Nama Manjunatha, Krishna; Paul, Shashi

    2017-12-01

    Flexible Semi-Transparent electronic memory would be useful in coming years for integrated flexible transparent electronic devices. However, attaining such flexibility and semi-transparency leads to the boundaries in material composition. Thus, impeding processing speed and device performance. In this work, we present the use of inorganic stable selenium nanoparticles (Se-NPs) as a storage element and hydrogenated amorphous carbon (a-C:H) as an insulating layer in two terminal non-volatile physically flexible and semi-transparent capacitive memory devices (2T-NMDs). Furthermore, a-C:H films can be deposited at very low temperature (industrial technique called Plasma Enhanced Chemical Vapour Deposition (PECVD) which is available in many existing fabrication labs. Self-assembled Se-NPs has several unique features including deposition at room temperature by simple vacuum thermal evaporation process without the need for further optimisation. This facilitates the fabrication of memory on a flexible substrate. Moreover, the memory behaviour of the Se-NPs was found to be more distinct than those of the semiconductor and metal nanostructures due to higher work function compared to the commonly used semiconductor and metal species. The memory behaviour was observed from the hysteresis of current-voltage (I-V) measurements while the two distinguishable electrical conductivity states (;0; and "1") were studied by current-time (I-t) measurements.

  18. Smoothing type buffer memory device

    International Nuclear Information System (INIS)

    Podorozhnyj, D.M.; Yashin, I.V.

    1990-01-01

    The layout of the micropower 4-bit smoothing type buffer memory device allowing one to record without counting the sequence of input randomly distributed pulses in multi-channel devices with serial poll, is given. The power spent by a memory cell for one binary digit recording is not greater than 0.15 mW, the device dead time is 10 mus

  19. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  20. Application of nanomaterials in two-terminal resistive-switching memory devices

    Directory of Open Access Journals (Sweden)

    Jianyong Ouyang

    2010-05-01

    Full Text Available Nanometer materials have been attracting strong attention due to their interesting structure and properties. Many important practical applications have been demonstrated for nanometer materials based on their unique properties. This article provides a review on the fabrication, electrical characterization, and memory application of two-terminal resistive-switching devices using nanomaterials as the active components, including metal and semiconductor nanoparticles (NPs, nanotubes, nanowires, and graphenes. There are mainly two types of device architectures for the two-terminal devices with NPs. One has a triple-layer structure with a metal film sandwiched between two organic semiconductor layers, and the other has a single polymer film blended with NPs. These devices can be electrically switched between two states with significant different resistances, i.e. the ‘ON’ and ‘OFF’ states. These render the devices important application as two-terminal non-volatile memory devices. The electrical behavior of these devices can be affected by the materials in the active layer and the electrodes. Though the mechanism for the electrical switches has been in argument, it is generally believed that the resistive switches are related to charge storage on the NPs. Resistive switches were also observed on crossbars formed by nanotubes, nanowires, and graphene ribbons. The resistive switches are due to nanoelectromechanical behavior of the materials. The Coulombic interaction of transient charges on the nanomaterials affects the configurable gap of the crossbars, which results into significant change in current through the crossbars. These nanoelectromechanical devices can be used as fast-response and high-density memory devices as well. Dr. Jianyong Ouyang received his bachelor degree from the Tsinghua University in Beijing, China, and MSc from the Institute of Chemistry, Chinese Academy of Science. He received his PhD from the Institute for Molecular

  1. Inkjet-printing of non-volatile organic resistive devices and crossbar array structures

    Science.gov (United States)

    Sax, Stefan; Nau, Sebastian; Popovic, Karl; Bluemel, Alexander; Klug, Andreas; List-Kratochvil, Emil J. W.

    2015-09-01

    Due to the increasing demand for storage capacity in various electronic gadgets like mobile phones or tablets, new types of non-volatile memory devices have gained a lot of attention over the last few years. Especially multilevel conductance switching elements based on organic semiconductors are of great interest due to their relatively simple device architecture and their small feature size. Since organic semiconductors combine the electronic properties of inorganic materials with the mechanical characteristics of polymers, this class of materials is suitable for solution based large area device preparation techniques. Consequently, inkjet based deposition techniques are highly capable of facing preparation related challenges. By gradually replacing the evaporated electrodes with inkjet printed silver, the preparation related influence onto device performance parameters such as the ON/OFF ratio was investigated with IV measurements and high resolution transmission electron microscopy. Due to the electrode surface roughness the solvent load during the printing of the top electrode as well as organic layer inhomogeneity's the utilization in array applications is hampered. As a prototypical example a 1diode-1resistor element and a 2×2 subarray from 5×5 array matrix were fully characterized demonstrating the versatility of inkjet printing for device preparation.

  2. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    R. Tansuchat (Roengchai); C-L. Chang (Chia-Lin); M.J. McAleer (Michael)

    2009-01-01

    textabstractThis paper estimates the long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  3. Modelling Long Memory Volatility in Agricultural Commodity Futures Returns

    NARCIS (Netherlands)

    C-L. Chang (Chia-Lin); M.J. McAleer (Michael); R. Tansuchat (Roengchai)

    2012-01-01

    textabstractThis paper estimates a long memory volatility model for 16 agricultural commodity futures returns from different futures markets, namely corn, oats, soybeans, soybean meal, soybean oil, wheat, live cattle, cattle feeder, pork, cocoa, coffee, cotton, orange juice, Kansas City wheat,

  4. Use of non-volatile memories for SSC detector readout

    International Nuclear Information System (INIS)

    Fennelly, A.J.; Woosley, J.K.; Johnson, M.B.

    1990-01-01

    Use of non-volatile memory units at the end of each fiber optic bunch/strand would substantially increase information available from experiments by providing a complete event history, in addition to easing real time processing requirements. This may be an alternative to enhancing technology to optical computing techniques. Available and low-risk projected technologies will be surveyed, with costing addressed. Some discussion will be given to covnersion of optical signals, to electronic information, concepts for providing timing pulses to the memory units, and to the magnetoresistive (MRAM) and ferroelectric (FERAM) random access memory technologies that may be utilized in the prototype system

  5. Transparent and flexible write-once-read-many (WORM) memory device based on egg albumen

    International Nuclear Information System (INIS)

    Qu, Bo; Lin, Qianru; Wan, Tao; Du, Haiwei; Chen, Nan; Lin, Xi; Chu, Dewei

    2017-01-01

    Egg albumen, as an important protein resource in nature, is an interesting dielectric material exhibiting many fascinating properties for the development of environmentally friendly electronic devices. Taking advantage of their extraordinary transparency and flexibility, this paper presents an innovative preparation approach for albumen thin film based write-once-read-many-times (WORM) memory devices in a simple, cost-effective manner. The fabricated device shows superior data retention properties including non-volatile character (over 10 5 s) and promising great read durability (10 6 times). Furthermore, our results suggested that the electric-field-induced trap-controlled space charge limited current (SCLC) conduction is responsible for the observed resistance switching effect. The present study may likely reveal another pathway towards complete see-through electrical devices. (paper)

  6. Transparent and flexible write-once-read-many (WORM) memory device based on egg albumen

    Science.gov (United States)

    Qu, Bo; Lin, Qianru; Wan, Tao; Du, Haiwei; Chen, Nan; Lin, Xi; Chu, Dewei

    2017-08-01

    Egg albumen, as an important protein resource in nature, is an interesting dielectric material exhibiting many fascinating properties for the development of environmentally friendly electronic devices. Taking advantage of their extraordinary transparency and flexibility, this paper presents an innovative preparation approach for albumen thin film based write-once-read-many-times (WORM) memory devices in a simple, cost-effective manner. The fabricated device shows superior data retention properties including non-volatile character (over 105 s) and promising great read durability (106 times). Furthermore, our results suggested that the electric-field-induced trap-controlled space charge limited current (SCLC) conduction is responsible for the observed resistance switching effect. The present study may likely reveal another pathway towards complete see-through electrical devices.

  7. Static memory devices

    NARCIS (Netherlands)

    2012-01-01

    A semiconductor memory device includes n-wells (22) and p-wells (24) used to make up a plurality of memory cell elements (40). The n-wells (22) and p-5 wells (24) can be back-biased to improve reading and writing performance. One of the n-wells and p-wells can be globally biased while the other one

  8. Highly Stretchable Non-volatile Nylon Thread Memory

    Science.gov (United States)

    Kang, Ting-Kuo

    2016-04-01

    Integration of electronic elements into textiles, to afford e-textiles, can provide an ideal platform for the development of lightweight, thin, flexible, and stretchable e-textiles. This approach will enable us to meet the demands of the rapidly growing market of wearable-electronics on arbitrary non-conventional substrates. However the actual integration of the e-textiles that undergo mechanical deformations during both assembly and daily wear or satisfy the requirements of the low-end applications, remains a challenge. Resistive memory elements can also be fabricated onto a nylon thread (NT) for e-textile applications. In this study, a simple dip-and-dry process using graphene-PEDOT:PSS (poly(3,4-ethylenedioxythiophene) polystyrene sulfonate) ink is proposed for the fabrication of a highly stretchable non-volatile NT memory. The NT memory appears to have typical write-once-read-many-times characteristics. The results show that an ON/OFF ratio of approximately 103 is maintained for a retention time of 106 s. Furthermore, a highly stretchable strain and a long-term digital-storage capability of the ON-OFF-ON states are demonstrated in the NT memory. The actual integration of the knitted NT memories into textiles will enable new design possibilities for low-cost and large-area e-textile memory applications.

  9. Volatile and Nonvolatile Characteristics of Asymmetric Dual-Gate Thyristor RAM with Vertical Structure.

    Science.gov (United States)

    Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook

    2018-09-01

    In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.

  10. The floating-gate non-volatile semiconductor memory--from invention to the digital age.

    Science.gov (United States)

    Sze, S M

    2012-10-01

    In the past 45 years (from 1967 to 2012), the non-volatile semiconductor memory (NVSM) has emerged from a floating-gate concept to the prime technology driver of the largest industry in the world-the electronics industry. In this paper, we briefly review the historical development of NVSM and project its future trends to the year 2020. In addition, we consider NVSM's wide-range of applications from the digital cellular phone to tablet computer to digital television. As the device dimension is scaled down to the deca-nanometer regime, we expect that many innovations will be made to meet the scaling challenges, and NVSM-inspired technology will continue to enrich and improve our lives for decades to come.

  11. From silicon to organic nanoparticle memory devices.

    Science.gov (United States)

    Tsoukalas, D

    2009-10-28

    After introducing the operational principle of nanoparticle memory devices, their current status in silicon technology is briefly presented in this work. The discussion then focuses on hybrid technologies, where silicon and organic materials have been combined together in a nanoparticle memory device, and finally concludes with the recent development of organic nanoparticle memories. The review is focused on the nanoparticle memory concept as an extension of the current flash memory device. Organic nanoparticle memories are at a very early stage of research and have not yet found applications. When this happens, it is expected that they will not directly compete with mature silicon technology but will find their own areas of application.

  12. Organic Nonvolatile Memory Devices Based on Ferroelectricity

    NARCIS (Netherlands)

    Naber, Ronald C. G.; Asadi, Kamal; Blom, Paul W. M.; de Leeuw, Dago M.; de Boer, Bert

    2010-01-01

    A memory functionality is a prerequisite for many applications of electronic devices. Organic nonvolatile memory devices based on ferroelectricity are a promising approach toward the development of a low-cost memory technology. In this Review Article we discuss the latest developments in this area

  13. Organic nonvolatile memory devices based on ferroelectricity

    NARCIS (Netherlands)

    Naber, R.C.G.; Asadi, K.; Blom, P.W.M.; Leeuw, D.M. de; Boer, B. de

    2010-01-01

    A memory functionality is a prerequisite for many applications of electronic devices. Organic nonvolatile memory devices based on ferroelectricity are a promising approach toward the development of a low-cost memory technology. In this Review Article we discuss the latest developments in this area

  14. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  15. Review of radiation effects on ReRAM devices and technology

    Science.gov (United States)

    Gonzalez-Velo, Yago; Barnaby, Hugh J.; Kozicki, Michael N.

    2017-08-01

    A review of the ionizing radiation effects on resistive random access memory (ReRAM) technology and devices is presented in this article. The review focuses on vertical devices exhibiting bipolar resistance switching, devices that have already exhibited interesting properties and characteristics for memory applications and, in particular, for non-volatile memory applications. Non-volatile memories are important devices for any type of electronic and embedded system, as they are for space applications. In such applications, specific environmental issues related to the existence of cosmic rays and Van Allen radiation belts around the Earth contribute to specific failure mechanisms related to the energy deposition induced by such ionizing radiation. Such effects are important in non-volatile memory as the current leading technology, i.e. flash-based technology, is sensitive to the total ionizing dose (TID) and single-event effects. New technologies such as ReRAM, if competing with or complementing the existing non-volatile area of memories from the point of view of performance, also have to exhibit great reliability for use in radiation environments such as space. This has driven research on the radiation effects of such ReRAM technology, on both the conductive-bridge RAM as well as the valence-change memories, or OxRAM variants of the technology. Initial characterizations of ReRAM technology showed a high degree of resilience to TID, developing researchers’ interest in characterizing such resilience as well as investigating the cause of such behavior. The state of the art of such research is reviewed in this article.

  16. Different importance of the volatile and non-volatile fractions of an olfactory signature for individual social recognition in rats versus mice and short-term versus long-term memory.

    Science.gov (United States)

    Noack, Julia; Richter, Karin; Laube, Gregor; Haghgoo, Hojjat Allah; Veh, Rüdiger W; Engelmann, Mario

    2010-11-01

    When tested in the olfactory cued social recognition/discrimination test, rats and mice differ in their retention of a recognition memory for a previously encountered conspecific juvenile: Rats are able to recognize a given juvenile for approximately 45 min only whereas mice show not only short-term, but also long-term recognition memory (≥ 24 h). Here we modified the social recognition/social discrimination procedure to investigate the neurobiological mechanism(s) underlying the species differences. We presented a conspecific juvenile repeatedly to the experimental subjects and monitored the investigation duration as a measure for recognition. Presentation of only the volatile fraction of the juvenile olfactory signature was sufficient for both short- and long-term recognition in mice but not rats. Applying additional volatile, mono-molecular odours to the "to be recognized" juveniles failed to affect short-term memory in both species, but interfered with long-term recognition in mice. Finally immunocytochemical analysis of c-Fos as a marker for cellular activation, revealed that juvenile exposure stimulated areas involved in the processing of olfactory signals in both the main and the accessory olfactory bulb in mice. In rats, we measured an increased c-Fos synthesis almost exclusively in cells of the accessory olfactory bulb. Our data suggest that the species difference in the retention of social recognition memory is based on differences in the processing of the volatile versus non-volatile fraction of the individuals' olfactory signature. The non-volatile fraction is sufficient for retaining a short-term social memory only. Long-term social memory - as observed in mice - requires a processing of both the volatile and non-volatile fractions of the olfactory signature. Copyright © 2010 Elsevier Inc. All rights reserved.

  17. A study on electromechanical carbon nanotube memory devices

    International Nuclear Information System (INIS)

    Kang, Jeong Won; Hwang, Ho Jung

    2005-01-01

    Electromechanical operations of carbon-nanotube (CNT) bridge memory device were investigated by using atomistic simulations based on empirical potentials. The nanotube-bridge memory device was operated by the electrostatic and the van der Waals forces acting on the nanotube-bridge. For the CNT bridge memory device, the van der Waals interactions between the CNT bridge and the oxide were very important. As the distance between the CNT bridge and the oxide decreased and the van der Waals interaction energy increased, the pull-in bias of the CNT-bridge decreased and the nonvolatility of the nanotube-bridge memory device increased, while the pull-out voltages increased. When the materials composed of the oxide film are different, since the van der Waals interactions must be also different, the oxide materials must be carefully selected for the CNT-bridge memory device to work as a nonvolatile memory.

  18. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    Science.gov (United States)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  19. Effects of Financial Crises on the Long Memory Volatility Dependency of Foreign Exchange Rates: the Asian Crisis vs. the Global Crisis

    Directory of Open Access Journals (Sweden)

    Young Wook Han

    2014-03-01

    Full Text Available This paper examines the effects of financial crises on the long memory volatility dependency of daily exchange returns focusing on the Asian crisis in 97-98 and the Global crisis in 08-09. By using the daily KRW-USD and JPY-USD exchange rates which have different trading regions and volumes, this paper first applies both the parametric FIGARCH model and the semi-parametric Local Whittle method to estimate the long memory volatility dependency of the daily returns and the temporally aggregated returns of the two exchange rates. Then it compares the effects of the two financial crises on the long memory volatility dependency of the daily returns. The estimation results reflect that the long memory volatility dependency of the KRW-USD is generally greater than that of the JPY-USD returns and the long memory dependency of the two returns appears to be invariant to temporal aggregation. And, the two financial crises appear to affect the volatility dynamics of all the returns by inducing greater long memory dependency in the volatility process of the exchange returns, but the degree of the effects of the two crises seems to be different on the exchange rates.

  20. Rad Hard Non Volatile Memory for FPGA BootLoading, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation-hardened non volatile memory (NVM) is needed to store the golden copy of the image(s) has not kept pace with the advances in FPGAs. Consider that a single...

  1. Next generation spin torque memories

    CERN Document Server

    Kaushik, Brajesh Kumar; Kulkarni, Anant Aravind; Prajapati, Sanjay

    2017-01-01

    This book offers detailed insights into spin transfer torque (STT) based devices, circuits and memories. Starting with the basic concepts and device physics, it then addresses advanced STT applications and discusses the outlook for this cutting-edge technology. It also describes the architectures, performance parameters, fabrication, and the prospects of STT based devices. Further, moving from the device to the system perspective it presents a non-volatile computing architecture composed of STT based magneto-resistive and all-spin logic devices and demonstrates that efficient STT based magneto-resistive and all-spin logic devices can turn the dream of instant on/off non-volatile computing into reality.

  2. An ultrafast programmable electrical tester for enabling time-resolved, sub-nanosecond switching dynamics and programming of nanoscale memory devices

    Science.gov (United States)

    Shukla, Krishna Dayal; Saxena, Nishant; Manivannan, Anbarasu

    2017-12-01

    Recent advancements in commercialization of high-speed non-volatile electronic memories including phase change memory (PCM) have shown potential not only for advanced data storage but also for novel computing concepts. However, an in-depth understanding on ultrafast electrical switching dynamics is a key challenge for defining the ultimate speed of nanoscale memory devices that demands for an unconventional electrical setup, specifically capable of handling extremely fast electrical pulses. In the present work, an ultrafast programmable electrical tester (PET) setup has been developed exceptionally for unravelling time-resolved electrical switching dynamics and programming characteristics of nanoscale memory devices at the picosecond (ps) time scale. This setup consists of novel high-frequency contact-boards carefully designed to capture extremely fast switching transient characteristics within 200 ± 25 ps using time-resolved current-voltage measurements. All the instruments in the system are synchronized using LabVIEW, which helps to achieve various programming characteristics such as voltage-dependent transient parameters, read/write operations, and endurance test of memory devices systematically using short voltage pulses having pulse parameters varied from 1 ns rise/fall time and 1.5 ns pulse width (full width half maximum). Furthermore, the setup has successfully demonstrated strikingly one order faster switching characteristics of Ag5In5Sb60Te30 (AIST) PCM devices within 250 ps. Hence, this novel electrical setup would be immensely helpful for realizing the ultimate speed limits of various high-speed memory technologies for future computing.

  3. Exponential Smoothing, Long Memory and Volatility Prediction

    DEFF Research Database (Denmark)

    Proietti, Tommaso

    three models that are natural extensions of ES: the fractionally integrated first order moving average (FIMA) model, a new integrated moving average model formulated in terms of the fractional lag operator (FLagIMA), and a fractional equal root integrated moving average (FerIMA) model, proposed...... originally by Hosking. We investigate the properties of the volatility components and the forecasts arising from these specification, which depend uniquely on the memory and the moving average parameters. For statistical inference we show that, under mild regularity conditions, the Whittle pseudo...

  4. Non-exponential resistive switching in Ag2S memristors: a key to nanometer-scale non-volatile memory devices.

    Science.gov (United States)

    Gubicza, Agnes; Csontos, Miklós; Halbritter, András; Mihály, György

    2015-03-14

    The dynamics of resistive switchings in nanometer-scale metallic junctions formed between an inert metallic tip and an Ag film covered by a thin Ag2S layer are investigated. Our thorough experimental analysis and numerical simulations revealed that the resistance change upon a switching bias voltage pulse exhibits a strongly non-exponential behaviour yielding markedly different response times at different bias levels. Our results demonstrate the merits of Ag2S nanojunctions as nanometer-scale non-volatile memory cells with stable switching ratios, high endurance as well as fast response to write/erase, and an outstanding stability against read operations at technologically optimal bias and current levels.

  5. On the origin of resistive switching volatility in Ni/TiO{sub 2}/Ni stacks

    Energy Technology Data Exchange (ETDEWEB)

    Cortese, Simone, E-mail: simone.cortese@soton.ac.uk; Trapatseli, Maria; Khiat, Ali; Prodromakis, Themistoklis [Nano Research Group, Electronics and Computer Science, University of Southampton, Southampton, Hampshire, SO17 1BJ (United Kingdom)

    2016-08-14

    Resistive switching and resistive random access memories have attracted huge interest for next generation nonvolatile memory applications, also thought to be able to overcome flash memories limitations when arranged in crossbar arrays. A cornerstone of their potential success is that the toggling between two distinct resistance states, usually a High Resistive State (HRS) and a Low Resistive State (LRS), is an intrinsic non-volatile phenomenon with the two states being thermodynamically stable. TiO{sub 2} is one of the most common materials known to support non-volatile RS. In this paper, we report a volatile resistive switching in a titanium dioxide thin film sandwiched by two nickel electrodes. The aim of this work is to understand the underlying physical mechanism that triggers the volatile effect, which is ascribed to the presence of a NiO layer at the bottom interface. The NiO layer alters the equilibrium between electric field driven filament formation and thermal enhanced ion diffusion, resulting in the volatile behaviour. Although the volatility is not ideal for non-volatile memory applications, it shows merit for access devices in crossbar arrays due to its high LRS/HRS ratio, which are also briefly discussed.

  6. Phase change memory

    CERN Document Server

    Qureshi, Moinuddin K

    2011-01-01

    As conventional memory technologies such as DRAM and Flash run into scaling challenges, architects and system designers are forced to look at alternative technologies for building future computer systems. This synthesis lecture begins by listing the requirements for a next generation memory technology and briefly surveys the landscape of novel non-volatile memories. Among these, Phase Change Memory (PCM) is emerging as a leading contender, and the authors discuss the material, device, and circuit advances underlying this exciting technology. The lecture then describes architectural solutions t

  7. Resistive switching effect in the planar structure of all-printed, flexible and rewritable memory device based on advanced 2D nanocomposite of graphene quantum dots and white graphene flakes

    International Nuclear Information System (INIS)

    Rehman, Muhammad Muqeet; Siddiqui, Ghayas Uddin; Kim, Sowon; Choi, Kyung Hyun

    2017-01-01

    Pursuit of the most appropriate materials and fabrication methods is essential for developing a reliable, rewritable and flexible memory device. In this study, we have proposed an advanced 2D nanocomposite of white graphene (hBN) flakes embedded with graphene quantum dots (GQDs) as the functional layer of a flexible memory device owing to their unique electrical, chemical and mechanical properties. Unlike the typical sandwich type structure of a memory device, we developed a cost effective planar structure, to simplify device fabrication and prevent sneak current. The entire device fabrication was carried out using printing technology followed by encapsulation in an atomically thin layer of aluminum oxide (Al 2 O 3 ) for protection against environmental humidity. The proposed memory device exhibited attractive bipolar switching characteristics of high switching ratio, large electrical endurance and enhanced lifetime, without any crosstalk between adjacent memory cells. The as-fabricated device showed excellent durability for several bending cycles at various bending diameters without any degradation in bistable resistive states. The memory mechanism was deduced to be conductive filamentary; this was validated by illustrating the temperature dependence of bistable resistive states. Our obtained results pave the way for the execution of promising 2D material based next generation flexible and non-volatile memory (NVM) applications. (paper)

  8. Estimating and Forecasting Generalized Fractional Long Memory Stochastic Volatility Models

    Directory of Open Access Journals (Sweden)

    Shelton Peiris

    2017-12-01

    Full Text Available This paper considers a flexible class of time series models generated by Gegenbauer polynomials incorporating the long memory in stochastic volatility (SV components in order to develop the General Long Memory SV (GLMSV model. We examine the corresponding statistical properties of this model, discuss the spectral likelihood estimation and investigate the finite sample properties via Monte Carlo experiments. We provide empirical evidence by applying the GLMSV model to three exchange rate return series and conjecture that the results of out-of-sample forecasts adequately confirm the use of GLMSV model in certain financial applications.

  9. C-RAM: breaking mobile device memory barriers using the cloud

    OpenAIRE

    Pamboris, A; Pietzuch, P

    2015-01-01

    ?Mobile applications are constrained by the available memory of mobile devices. We present C-RAM, a system that uses cloud-based memory to extend the memory of mobile devices. It splits application state and its associated computation between a mobile device and a cloud node to allow applications to consume more memory, while minimising the performance impact. C-RAM thus enables developers to realise new applications or port legacy desktop applications with a large memory footprint to mobile ...

  10. Novel spintronics devices for memory and logic: prospects and challenges for room temperature all spin computing

    Science.gov (United States)

    Wang, Jian-Ping

    An energy efficient memory and logic device for the post-CMOS era has been the goal of a variety of research fields. The limits of scaling, which we expect to reach by the year 2025, demand that future advances in computational power will not be realized from ever-shrinking device sizes, but rather by innovative designs and new materials and physics. Magnetoresistive based devices have been a promising candidate for future integrated magnetic computation because of its unique non-volatility and functionalities. The application of perpendicular magnetic anisotropy for potential STT-RAM application was demonstrated and later has been intensively investigated by both academia and industry groups, but there is no clear path way how scaling will eventually work for both memory and logic applications. One of main reasons is that there is no demonstrated material stack candidate that could lead to a scaling scheme down to sub 10 nm. Another challenge for the usage of magnetoresistive based devices for logic application is its available switching speed and writing energy. Although a good progress has been made to demonstrate the fast switching of a thermally stable magnetic tunnel junction (MTJ) down to 165 ps, it is still several times slower than its CMOS counterpart. In this talk, I will review the recent progress by my research group and my C-SPIN colleagues, then discuss the opportunities, challenges and some potential path ways for magnetoresitive based devices for memory and logic applications and their integration for room temperature all spin computing system.

  11. Poly (vinylidene fluoride-trifluoroethylene/barium titanate nanocomposite for ferroelectric nonvolatile memory devices

    Directory of Open Access Journals (Sweden)

    Uvais Valiyaneerilakkal

    2013-04-01

    Full Text Available The effect of barium titanate (BaTiO3 nanoparticles (particle size <100nm on the ferroelectric properties of poly (vinylidenefluoride-trifluoroethylene P(VDF-TrFE copolymer has been studied. Different concentrations of nanoparticles were added to P(VDF-TrFE using probe sonication, and uniform thin films were made. Polarisation - Electric field (P-E hysteresis analysis shows an increase in remnant polarization (Pr and decrease in coercive voltage (Vc. Piezo-response force microscopy analysis shows the switching capability of the polymer composite. The topography and surface roughness was studied using atomic force microscopy. It has been observed that this nanocomposite can be used for the fabrication of non-volatile ferroelectric memory devices.

  12. A complementary switching mechanism for organic memory devices to regulate the conductance of binary states

    Science.gov (United States)

    Vyas, Giriraj; Dagar, Parveen; Sahu, Satyajit

    2016-06-01

    We have fabricated an organic non-volatile memory device wherein the ON/OFF current ratio has been controlled by varying the concentration of a small organic molecule, 2,3-Dichloro-5,6-dicyano-p-benzoquinone (DDQ), in an insulating matrix of a polymer Poly(4-vinylphenol) (PVP). A maximum ON-OFF ratio of 106 is obtained when the concentration of DDQ is half or 10 wt. % of PVP. In this process, the switching direction for the devices has also been altered, indicating the disparity in conduction mechanism. Conduction due to metal filament formation through the active material and the voltage dependent conformational change of the organic molecule seem to be the motivation behind the gradual change in the switching direction.

  13. Non-volatile nano-floating gate memory with Pt-Fe{sub 2}O{sub 3} composite nanoparticles and indium gallium zinc oxide channel

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Quanli [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Lee, Seung Chang; Baek, Yoon-Jae [Myongji University, Department of Materials Science and Engineering (Korea, Republic of); Lee, Hyun Ho [Myongji University, Department of Chemical Engineering (Korea, Republic of); Kang, Chi Jung [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Kim, Hyun-Mi; Kim, Ki-Bum [Seoul National University, Department of Materials Science and Engineering (Korea, Republic of); Yoon, Tae-Sik, E-mail: tsyoon@mju.ac.kr [Myongji University, Department of Nano Science and Engineering (Korea, Republic of)

    2013-02-15

    Non-volatile nano-floating gate memory characteristics with colloidal Pt-Fe{sub 2}O{sub 3} composite nanoparticles with a mostly core-shell structure and indium gallium zinc oxide channel layer were investigated. The Pt-Fe{sub 2}O{sub 3} nanoparticles were chemically synthesized through the preferential oxidation of Fe and subsequent pileup of Pt into the core in the colloidal solution. The uniformly assembled nanoparticles' layer could be formed with a density of {approx}3 Multiplication-Sign 10{sup 11} cm{sup -2} by a solution-based dip-coating process. The Pt core ({approx}3 nm in diameter) and Fe{sub 2}O{sub 3}-shell ({approx}6 nm in thickness) played the roles of the charge storage node and tunneling barrier, respectively. The device exhibited the hysteresis in current-voltage measurement with a threshold voltage shift of {approx}4.76 V by gate voltage sweeping to +30 V. It also showed the threshold shift of {approx}0.66 V after pulse programming at +20 V for 1 s with retention > {approx}65 % after 10{sup 4} s. These results demonstrate the feasibility of using colloidal nanoparticles with core-shell structure as gate stacks of the charge storage node and tunneling dielectric for low-temperature and solution-based processed non-volatile memory devices.

  14. Semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2011-03-15

    Novel articles and methods to fabricate the same resulting in flexible, large-area, triaxially textured, single-crystal or single-crystal-like, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  15. Memory Device and Nanofabrication Techniques Using Electrically Configurable Materials

    Science.gov (United States)

    Ascenso Simões, Bruno

    Development of novel nanofabrication techniques and single-walled carbon nanotubes field configurable transistor (SWCNT-FCT) memory devices using electrically configurable materials is presented. A novel lithographic technique, electric lithography (EL), that uses electric field for pattern generation has been demonstrated. It can be used for patterning of biomolecules on a polymer surface and patterning of resist as well. Using electrical resist composed of a polymer having Boc protected amine group and iodonium salt, Boc group on the surface of polymer was modified to free amine by applying an electric field. On the modified surface of the polymer, Streptavidin pattern was fabricated with a sub-micron scale. Also patterning of polymer resin composed of epoxy monomers and diaryl iodonium salt by EL has been demonstrated. Reaction mechanism for electric resist configuration is believed to be induced by an acid generation via electrochemical reduction in the resist. We show a novel field configurable transistor (FCT) based on single-walled carbon nanotube network field-effect transistors in which poly (ethylene glycol) crosslinked by electron-beam is incorporated into the gate. The device conductance can be configured to arbitrary states reversibly and repeatedly by applying external gate voltages. Raman spectroscopy revealed that evolution of the ratio of D- to G-band intensity in the SWCNTs of the FCT progressively increases as the device is configured to lower conductance states. Electron transport studies at low temperatures showed a strong temperature dependence of the resistance. Band gap widening of CNTs up to ˜ 4 eV has been observed by examining the differential conductance-gate voltage-bias voltage relationship. The switching mechanism of the FCT is attributed a structural transformation of CNTs via reversible hydrogenation and dehydrogenations induced by gate voltages, which tunes the CNT bandgap continuously and reversibly to non-volatile analog values

  16. Four-state non-volatile memory in a multiferroic spin filter tunnel junction

    Science.gov (United States)

    Ruan, Jieji; Li, Chen; Yuan, Zhoushen; Wang, Peng; Li, Aidong; Wu, Di

    2016-12-01

    We report a spin filter type multiferroic tunnel junction with a ferromagnetic/ferroelectric bilayer barrier. Memory functions of a spin filter magnetic tunnel junction and a ferroelectric tunnel junction are combined in this single device, producing four non-volatile resistive states that can be read out in a non-destructive manner. This concept is demonstrated in a LaNiO3/Pr0.8Ca0.2MnO3/BaTiO3/La0.7Sr0.3MnO3 all-oxide tunnel junction. The ferromagnetic insulator Pr0.8Ca0.2MnO3 serves as the spin filter and the ferromagnetic metal La0.7Sr0.3MnO3 is the spin analyzer. The ferroelectric polarization reversal in the BaTiO3 barrier switches the tunneling barrier height to produce a tunneling electroresistance. The ferroelectric switching also modulates the spin polarization and the spin filtering efficiency in Pr0.8Ca0.2MnO3.

  17. A fast, high-endurance and scalable non-volatile memory device made from asymmetric Ta2O5-x/TaO2-x bilayer structures

    Science.gov (United States)

    Lee, Myoung-Jae; Lee, Chang Bum; Lee, Dongsoo; Lee, Seung Ryul; Chang, Man; Hur, Ji Hyun; Kim, Young-Bae; Kim, Chang-Jung; Seo, David H.; Seo, Sunae; Chung, U.-In; Yoo, In-Kyeong; Kim, Kinam

    2011-08-01

    Numerous candidates attempting to replace Si-based flash memory have failed for a variety of reasons over the years. Oxide-based resistance memory and the related memristor have succeeded in surpassing the specifications for a number of device requirements. However, a material or device structure that satisfies high-density, switching-speed, endurance, retention and most importantly power-consumption criteria has yet to be announced. In this work we demonstrate a TaOx-based asymmetric passive switching device with which we were able to localize resistance switching and satisfy all aforementioned requirements. In particular, the reduction of switching current drastically reduces power consumption and results in extreme cycling endurances of over 1012. Along with the 10 ns switching times, this allows for possible applications to the working-memory space as well. Furthermore, by combining two such devices each with an intrinsic Schottky barrier we eliminate any need for a discrete transistor or diode in solving issues of stray leakage current paths in high-density crossbar arrays.

  18. Electronic polymer memory devices-Easy to fabricate, difficult to understand

    International Nuclear Information System (INIS)

    Paul, Shashi; Salaoru, Iulia

    2010-01-01

    There has been a number reports on polymer memory devices for the last one decade. Polymer memory devices are fabricated by depositing a blend (an admixture of organic polymer, small organic molecules and nanoparticles) between two metal electrodes. These devices show two electrical conductance states ('1' and '0') when voltage is applied, thus rendering the structures suitable for data retention. These two states can be viewed as the realisation of memory devices. However, polymer memory devices reported so far suffer from multiple drawbacks that render their industrial implementation premature. There is a large discrepancy in the results reported by different groups. This article attempts to answer some of the questions.

  19. Synaptic plasticity and memory functions achieved in a WO3−x-based nanoionics device by using the principle of atomic switch operation

    International Nuclear Information System (INIS)

    Yang, Rui; Terabe, Kazuya; Yao, Yiping; Tsuruoka, Tohru; Hasegawa, Tsuyoshi; Gimzewski, James K; Aono, Masakazu

    2013-01-01

    A compact neuromorphic nanodevice with inherent learning and memory properties emulating those of biological synapses is the key to developing artificial neural networks rivaling their biological counterparts. Experimental results showed that memorization with a wide time scale from volatile to permanent can be achieved in a WO 3−x -based nanoionics device and can be precisely and cumulatively controlled by adjusting the device’s resistance state and input pulse parameters such as the amplitude, interval, and number. This control is analogous to biological synaptic plasticity including short-term plasticity, long-term potentiation, transition from short-term memory to long-term memory, forgetting processes for short- and long-term memory, learning speed, and learning history. A compact WO 3−x -based nanoionics device with a simple stacked layer structure should thus be a promising candidate for use as an inorganic synapse in artificial neural networks due to its striking resemblance to the biological synapse. (paper)

  20. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    Science.gov (United States)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  1. Malware Memory Analysis of the IVYL Linux Rootkit: Investigating a Publicly Available Linux Rootkit Using the Volatility Memory Analysis Framework

    Science.gov (United States)

    2015-04-01

    report is to examine how a computer forensic investigator/incident handler, without specialised computer memory or software reverse engineering skills ...The skills amassed by incident handlers and investigators alike while using Volatility to examine Windows memory images will be of some help...bin/pulseaudio --start --log-target=syslog 1362 1000 1000 nautilus 1366 1000 1000 /usr/lib/pulseaudio/pulse/gconf- helper 1370 1000 1000 nm-applet

  2. 3D Printed Photoresponsive Devices Based on Shape Memory Composites.

    Science.gov (United States)

    Yang, Hui; Leow, Wan Ru; Wang, Ting; Wang, Juan; Yu, Jiancan; He, Ke; Qi, Dianpeng; Wan, Changjin; Chen, Xiaodong

    2017-09-01

    Compared with traditional stimuli-responsive devices with simple planar or tubular geometries, 3D printed stimuli-responsive devices not only intimately meet the requirement of complicated shapes at macrolevel but also satisfy various conformation changes triggered by external stimuli at the microscopic scale. However, their development is limited by the lack of 3D printing functional materials. This paper demonstrates the 3D printing of photoresponsive shape memory devices through combining fused deposition modeling printing technology and photoresponsive shape memory composites based on shape memory polymers and carbon black with high photothermal conversion efficiency. External illumination triggers the shape recovery of 3D printed devices from the temporary shape to the original shape. The effect of materials thickness and light density on the shape memory behavior of 3D printed devices is quantified and calculated. Remarkably, sunlight also triggers the shape memory behavior of these 3D printed devices. This facile printing strategy would provide tremendous opportunities for the design and fabrication of biomimetic smart devices and soft robotics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. A Multivariate Asymmetric Long Memory Conditional Volatility Model with X, Regularity and Asymptotics

    NARCIS (Netherlands)

    M. Asai (Manabu); M.J. McAleer (Michael)

    2016-01-01

    textabstractThe paper derives a Multivariate Asymmetric Long Memory conditional volatility model with Exogenous Variables (X), or the MALMX model, with dynamic conditional correlations, appropriate regularity conditions, and associated asymptotic theory. This enables checking of internal consistency

  4. Memory properties of a Ge nanoring MOS device fabricated by pulsed laser deposition.

    Science.gov (United States)

    Ma, Xiying

    2008-07-09

    The non-volatile charge-storage properties of memory devices with MOS structure based on Ge nanorings have been studied. The two-dimensional Ge nanorings were prepared on a p-Si(100) matrix by means of pulsed laser deposition (PLD) using the droplet technique combined with rapid annealing. Complete planar nanorings with well-defined sharp inner and outer edges were formed via an elastic self-transformation droplet process, which is probably driven by the lateral strain of the Ge/Si layers and the surface tension in the presence of Ar gas. The low leakage current was attributed to the small roughness and the few interface states in the planar Ge nanorings, and also to the effect of Coulomb blockade preventing injection. A significant threshold-voltage shift of 2.5 V was observed when an operating voltage of 8 V was implemented on the device.

  5. Evaluation of a completely automated cold fiber device using compounds with varying volatility and polarity.

    Science.gov (United States)

    Jiang, Ruifen; Carasek, Eduardo; Risticevic, Sanja; Cudjoe, Erasmus; Warren, Jamie; Pawliszyn, Janusz

    2012-09-12

    A fully automated cold fiber solid phase microextraction device has been developed by coupling to a GERSTEL multipurpose (MPS 2) autosampler and applied to the analysis of volatiles and semi-volatiles in aqueous and solid matrices. The proposed device was thoroughly evaluated for its extraction performance, robustness, reproducibility and reliability by gas chromatograph/mass spectrometer (GC/MS). With the use of a septumless head injector, the entire automated setup was capable of analyzing over 200 samples without any GC injector leakages. Evaluation of the automated cold fiber device was carried out using a group of compounds characterized by different volatilities and polarities. Extraction efficiency as well as analytical figures of merit was compared to commercial solid phase microextraction fibers. The automated cold fiber device showed significantly improved extraction efficiency compared to the commercial polydimethylsiloxane (PDMS) and cold fiber without cooling for the analysis of aqueous standard samples due to the low temperature of the coating. Comparing results obtained from cold fiber and commercial divinylbenzene/carboxen/polydimethylsiloxane (DVB/CAR/PDMS) fiber temperature profile demonstrated that the temperature gap between the sample matrix and the coating improved the distribution coefficient and therefore the extraction amount. The linear dynamic range of the cold fiber device was 0.5 ng mL(-1) to 100 ng mL(-1) with a linear regression coefficient ≥0.9963 for all compounds. The limit of detection for all analytes ranged from 1.0 ng mL(-1) to 9.4 ng mL(-1). The newly automated cold fiber device presents a platform for headspace analysis of volatiles and semi-volatiles for large number of samples with improved throughput and sensitivity. Copyright © 2012 Elsevier B.V. All rights reserved.

  6. Comparing Predictive Accuracy under Long Memory - With an Application to Volatility Forecasting

    DEFF Research Database (Denmark)

    Kruse, Robinson; Leschinski, Christian; Will, Michael

    This paper extends the popular Diebold-Mariano test to situations when the forecast error loss differential exhibits long memory. It is shown that this situation can arise frequently, since long memory can be transmitted from forecasts and the forecast objective to forecast error loss differentials....... The nature of this transmission mainly depends on the (un)biasedness of the forecasts and whether the involved series share common long memory. Further results show that the conventional Diebold-Mariano test is invalidated under these circumstances. Robust statistics based on a memory and autocorrelation...... extensions of the heterogeneous autoregressive model. While we find that forecasts improve significantly if jumps in the log-price process are considered separately from continuous components, improvements achieved by the inclusion of implied volatility turn out to be insignificant in most situations....

  7. Indium-oxide nanoparticles for RRAM devices compatible with CMOS back-end-off-line

    Science.gov (United States)

    León Pérez, Edgar A. A.; Guenery, Pierre-Vincent; Abouzaid, Oumaïma; Ayadi, Khaled; Brottet, Solène; Moeyaert, Jérémy; Labau, Sébastien; Baron, Thierry; Blanchard, Nicholas; Baboux, Nicolas; Militaru, Liviu; Souifi, Abdelkader

    2018-05-01

    We report on the fabrication and characterization of Resistive Random Access Memory (RRAM) devices based on nanoparticles in MIM structures. Our approach is based on the use of indium oxide (In2O3) nanoparticles embedded in a dielectric matrix using CMOS-full-compatible fabrication processes in view of back-end-off-line integration for non-volatile memory (NVM) applications. A bipolar switching behavior has been observed using current-voltage measurements (I-V) for all devices. Very high ION/IOFF ratios have been obtained up to 108. Our results provide insights for further integration of In2O3 nanoparticles-based devices for NVM applications. He is currently a Postdoctoral Researcher in the Institute of Nanotechnologies of Lyon (INL), INSA de Lyon, France, in the Electronics Department. His current research include indium oxide nanoparticles for non-volatile memory applications, and the integrations of these devices in CMOS BEOL.

  8. An UV photochromic memory effect in proton-based WO3 electrochromic devices

    International Nuclear Information System (INIS)

    Zhang Yong; Lee, S.-H.; Mascarenhas, A.; Deb, S. K.

    2008-01-01

    We report an UV photochromic memory effect on a standard proton-based WO 3 electrochromic device. It exhibits two memory states, associated with the colored and bleached states of the device, respectively. Such an effect can be used to enhance device performance (increasing the dynamic range), re-energize commercial electrochromic devices, and develop memory devices

  9. An UV photochromic memory effect in proton-based WO3 electrochromic devices

    Science.gov (United States)

    Zhang, Yong; Lee, S.-H.; Mascarenhas, A.; Deb, S. K.

    2008-11-01

    We report an UV photochromic memory effect on a standard proton-based WO3 electrochromic device. It exhibits two memory states, associated with the colored and bleached states of the device, respectively. Such an effect can be used to enhance device performance (increasing the dynamic range), re-energize commercial electrochromic devices, and develop memory devices.

  10. Status and Prospects of ZnO-Based Resistive Switching Memory Devices

    Science.gov (United States)

    Simanjuntak, Firman Mangasa; Panda, Debashis; Wei, Kung-Hwa; Tseng, Tseung-Yuen

    2016-08-01

    In the advancement of the semiconductor device technology, ZnO could be a prospective alternative than the other metal oxides for its versatility and huge applications in different aspects. In this review, a thorough overview on ZnO for the application of resistive switching memory (RRAM) devices has been conducted. Various efforts that have been made to investigate and modulate the switching characteristics of ZnO-based switching memory devices are discussed. The use of ZnO layer in different structure, the different types of filament formation, and the different types of switching including complementary switching are reported. By considering the huge interest of transparent devices, this review gives the concrete overview of the present status and prospects of transparent RRAM devices based on ZnO. ZnO-based RRAM can be used for flexible memory devices, which is also covered here. Another challenge in ZnO-based RRAM is that the realization of ultra-thin and low power devices. Nevertheless, ZnO not only offers decent memory properties but also has a unique potential to be used as multifunctional nonvolatile memory devices. The impact of electrode materials, metal doping, stack structures, transparency, and flexibility on resistive switching properties and switching parameters of ZnO-based resistive switching memory devices are briefly compared. This review also covers the different nanostructured-based emerging resistive switching memory devices for low power scalable devices. It may give a valuable insight on developing ZnO-based RRAM and also should encourage researchers to overcome the challenges.

  11. A Survey of Soft-Error Mitigation Techniques for Non-Volatile Memories

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-02-01

    Full Text Available Non-volatile memories (NVMs offer superior density and energy characteristics compared to the conventional memories; however, NVMs suffer from severe reliability issues that can easily eclipse their energy efficiency advantages. In this paper, we survey architectural techniques for improving the soft-error reliability of NVMs, specifically PCM (phase change memory and STT-RAM (spin transfer torque RAM. We focus on soft-errors, such as resistance drift and write disturbance, in PCM and read disturbance and write failures in STT-RAM. By classifying the research works based on key parameters, we highlight their similarities and distinctions. We hope that this survey will underline the crucial importance of addressing NVM reliability for ensuring their system integration and will be useful for researchers, computer architects and processor designers.

  12. PIYAS-Proceeding to Intelligent Service Oriented Memory Allocation for Flash Based Data Centric Sensor Devices in Wireless Sensor Networks

    Directory of Open Access Journals (Sweden)

    Sanam Shahla Rizvi

    2009-12-01

    Full Text Available Flash memory has become a more widespread storage medium for modern wireless devices because of its effective characteristics like non-volatility, small size, light weight, fast access speed, shock resistance, high reliability and low power consumption. Sensor nodes are highly resource constrained in terms of limited processing speed, runtime memory, persistent storage, communication bandwidth and finite energy. Therefore, for wireless sensor networks supporting sense, store, merge and send schemes, an efficient and reliable file system is highly required with consideration of sensor node constraints. In this paper, we propose a novel log structured external NAND flash memory based file system, called Proceeding to Intelligent service oriented memorY Allocation for flash based data centric Sensor devices in wireless sensor networks (PIYAS. This is the extended version of our previously proposed PIYA [1]. The main goals of the PIYAS scheme are to achieve instant mounting and reduced SRAM space by keeping memory mapping information to a very low size of and to provide high query response throughput by allocation of memory to the sensor data by network business rules. The scheme intelligently samples and stores the raw data and provides high in-network data availability by keeping the aggregate data for a longer period of time than any other scheme has done before. We propose effective garbage collection and wear-leveling schemes as well. The experimental results show that PIYAS is an optimized memory management scheme allowing high performance for wireless sensor networks.

  13. PIYAS-proceeding to intelligent service oriented memory allocation for flash based data centric sensor devices in wireless sensor networks.

    Science.gov (United States)

    Rizvi, Sanam Shahla; Chung, Tae-Sun

    2010-01-01

    Flash memory has become a more widespread storage medium for modern wireless devices because of its effective characteristics like non-volatility, small size, light weight, fast access speed, shock resistance, high reliability and low power consumption. Sensor nodes are highly resource constrained in terms of limited processing speed, runtime memory, persistent storage, communication bandwidth and finite energy. Therefore, for wireless sensor networks supporting sense, store, merge and send schemes, an efficient and reliable file system is highly required with consideration of sensor node constraints. In this paper, we propose a novel log structured external NAND flash memory based file system, called Proceeding to Intelligent service oriented memorY Allocation for flash based data centric Sensor devices in wireless sensor networks (PIYAS). This is the extended version of our previously proposed PIYA [1]. The main goals of the PIYAS scheme are to achieve instant mounting and reduced SRAM space by keeping memory mapping information to a very low size of and to provide high query response throughput by allocation of memory to the sensor data by network business rules. The scheme intelligently samples and stores the raw data and provides high in-network data availability by keeping the aggregate data for a longer period of time than any other scheme has done before. We propose effective garbage collection and wear-leveling schemes as well. The experimental results show that PIYAS is an optimized memory management scheme allowing high performance for wireless sensor networks.

  14. Non-volatile main memory management methods based on a file system.

    Science.gov (United States)

    Oikawa, Shuichi

    2014-01-01

    There are upcoming non-volatile (NV) memory technologies that provide byte addressability and high performance. PCM, MRAM, and STT-RAM are such examples. Such NV memory can be used as storage because of its data persistency without power supply while it can be used as main memory because of its high performance that matches up with DRAM. There are a number of researches that investigated its uses for main memory and storage. They were, however, conducted independently. This paper presents the methods that enables the integration of the main memory and file system management for NV memory. Such integration makes NV memory simultaneously utilized as both main memory and storage. The presented methods use a file system as their basis for the NV memory management. We implemented the proposed methods in the Linux kernel, and performed the evaluation on the QEMU system emulator. The evaluation results show that 1) the proposed methods can perform comparably to the existing DRAM memory allocator and significantly better than the page swapping, 2) their performance is affected by the internal data structures of a file system, and 3) the data structures appropriate for traditional hard disk drives do not always work effectively for byte addressable NV memory. We also performed the evaluation of the effects caused by the longer access latency of NV memory by cycle-accurate full-system simulation. The results show that the effect on page allocation cost is limited if the increase of latency is moderate.

  15. A microfluidic device for open loop stripping of volatile organic compounds.

    Science.gov (United States)

    Cvetković, Benjamin Z; Dittrich, Petra S

    2013-03-01

    The detection of volatile organic compounds is of great importance for assessing the quality of water. In this contribution, we describe a miniaturized stripping device that allows fast online detection of organic solvents in water. The core component is a glass microfluidic chip that facilitates the creation of an annular-flowing stream of water and nitrogen gas. Volatile compounds are transferred efficiently from the water into the gas phase along the microfluidic pathway at room temperature within less than 5 s. Before exiting the microchip, the liquid phase is separated from the enriched gas phase by incorporating side capillaries through which the hydrophilic water phase is withdrawn. The gas phase is conveniently collected at the outlet reservoir by tubing. Finally, a semiconductor gas sensor analyzes the concentration of (volatile) organic compounds in the nitrogen gas. The operation and use of the stripping device is demonstrated for the organic solvents THF, 1-propanol, toluene, ethylbenzene, benzaldehyde, and methanol. The mobile, inexpensive, and continuously operating system with liquid flow rates in the low range of microliters per minute can be connected to other detectors or implemented in chemical production line for process control.

  16. Investigation of Hafnium oxide/Copper resistive memory for advanced encryption applications

    Science.gov (United States)

    Briggs, Benjamin D.

    The Advanced Encryption Standard (AES) is a widely used encryption algorithm to protect data and communications in today's digital age. Modern AES CMOS implementations require large amounts of dedicated logic and must be tuned for either performance or power consumption. A high throughput, low power, and low die area AES implementation is required in the growing mobile sector. An emerging non-volatile memory device known as resistive memory (ReRAM) is a simple metal-insulator-metal capacitor device structure with the ability to switch between two stable resistance states. Currently, ReRAM is targeted as a non-volatile memory replacement technology to eventually replace flash. Its advantages over flash include ease of fabrication, speed, and lower power consumption. In addition to memory, ReRAM can also be used in advanced logic implementations given its purely resistive behavior. The combination of a new non-volatile memory element ReRAM along with high performance, low power CMOS opens new avenues for logic implementations. This dissertation will cover the design and process implementation of a ReRAM-CMOS hybrid circuit, built using IBM's 10LPe process, for the improvement of hardware AES implementations. Further the device characteristics of ReRAM, specifically the HfO2/Cu memory system, and mechanisms for operation are not fully correlated. Of particular interest to this work is the role of material properties such as the stoichiometry, crystallinity, and doping of the HfO2 layer and their effect on the switching characteristics of resistive memory. Material properties were varied by a combination of atomic layer deposition and reactive sputtering of the HfO2 layer. Several studies will be discussed on how the above mentioned material properties influence switching parameters, and change the underlying physics of device operation.

  17. A chiral-based magnetic memory device without a permanent magnet.

    Science.gov (United States)

    Ben Dor, Oren; Yochelis, Shira; Mathew, Shinto P; Naaman, Ron; Paltiel, Yossi

    2013-01-01

    Several technologies are currently in use for computer memory devices. However, there is a need for a universal memory device that has high density, high speed and low power requirements. To this end, various types of magnetic-based technologies with a permanent magnet have been proposed. Recent charge-transfer studies indicate that chiral molecules act as an efficient spin filter. Here we utilize this effect to achieve a proof of concept for a new type of chiral-based magnetic-based Si-compatible universal memory device without a permanent magnet. More specifically, we use spin-selective charge transfer through a self-assembled monolayer of polyalanine to magnetize a Ni layer. This magnitude of magnetization corresponds to applying an external magnetic field of 0.4 T to the Ni layer. The readout is achieved using low currents. The presented technology has the potential to overcome the limitations of other magnetic-based memory technologies to allow fabricating inexpensive, high-density universal memory-on-chip devices.

  18. Electric-field-controlled interface dipole modulation for Si-based memory devices.

    Science.gov (United States)

    Miyata, Noriyuki

    2018-05-31

    Various nonvolatile memory devices have been investigated to replace Si-based flash memories or emulate synaptic plasticity for next-generation neuromorphic computing. A crucial criterion to achieve low-cost high-density memory chips is material compatibility with conventional Si technologies. In this paper, we propose and demonstrate a new memory concept, interface dipole modulation (IDM) memory. IDM can be integrated as a Si field-effect transistor (FET) based memory device. The first demonstration of this concept employed a HfO 2 /Si MOS capacitor where the interface monolayer (ML) TiO 2 functions as a dipole modulator. However, this configuration is unsuitable for Si-FET-based devices due to its large interface state density (D it ). Consequently, we propose, a multi-stacked amorphous HfO 2 /1-ML TiO 2 /SiO 2 IDM structure to realize a low D it and a wide memory window. Herein we describe the quasi-static and pulse response characteristics of multi-stacked IDM MOS capacitors and demonstrate flash-type and analog memory operations of an IDM FET device.

  19. Materials and Physics Challenges for Spin Transfer Torque Magnetic Random Access Memories

    Energy Technology Data Exchange (ETDEWEB)

    Heinonen, O.

    2014-10-05

    Magnetic random access memories utilizing the spin transfer torque effect for writing information are a strong contender for non-volatile memories scalable to the 20 nm node, and perhaps beyond. I will here examine how these devices behave as the device size is scaled down from 70 nm size to 20 nm. As device sizes go below ~50 nm, the size becomes comparable to intrinsic magnetic length scales and the device behavior does not simply scale with size. This has implications for the device design and puts additional constraints on the materials in the device.

  20. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  1. Semiconductor-based, large-area, flexible, electronic devices on {110} oriented substrates

    Science.gov (United States)

    Goyal, Amit

    2014-08-05

    Novel articles and methods to fabricate the same resulting in flexible, oriented, semiconductor-based, electronic devices on {110} textured substrates are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  2. [100] or [110] aligned, semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit

    2015-03-24

    Novel articles and methods to fabricate the same resulting in flexible, large-area, [100] or [110] textured, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  3. Pricing European option with transaction costs under the fractional long memory stochastic volatility model

    Science.gov (United States)

    Wang, Xiao-Tian; Wu, Min; Zhou, Ze-Min; Jing, Wei-Shu

    2012-02-01

    This paper deals with the problem of discrete time option pricing using the fractional long memory stochastic volatility model with transaction costs. Through the 'anchoring and adjustment' argument in a discrete time setting, a European call option pricing formula is obtained.

  4. Fast, Capacious Disk Memory Device

    Science.gov (United States)

    Muller, Ronald M.

    1990-01-01

    Device for recording digital data on, and playing back data from, memory disks has high recording or playback rate and utilizes available recording area more fully. Two disks, each with own reading/writing head, used to record data at same time. Head on disk A operates on one of tracks numbered from outside in; head on disk B operates on track of same number in sequence from inside out. Underlying concept of device applicable to magnetic or optical disks.

  5. A Skewed Student-t Value-at-Risk Approach for Long Memory Volatility Processes in Japanese Financial Markets

    Directory of Open Access Journals (Sweden)

    Seong¡-Min Yoon

    2007-06-01

    Full Text Available This paper investigates the relevance of skewed Student-t distributions in capturing long memory volatility properties in the daily return series of Japanese financial data (Nikkei 225 Index and JPY-USD exchange rate. For this purpose, we assess the performance of two long memory Value-at-Risk (VaR models (FIGARCH and FIAPARCH VaR model with three different distribution innovations: the normal, Student-t, and skewed Student-t distributions. From our results, we find that the skewed Student-t distribution model produces more accurate VaR estimations than normal and Student-t distribution models. Thus, accounting for skewness and excess kurtosis in the asset return distribution can provide suitable criteria for VaR model selection in the context of long memory volatility and enhance the performance of risk management in Japanese financial markets.

  6. A stacked memory device on logic 3D technology for ultra-high-density data storage

    International Nuclear Information System (INIS)

    Kim, Jiyoung; Hong, Augustin J; Kim, Sung Min; Shin, Kyeong-Sik; Song, Emil B; Hwang, Yongha; Xiu, Faxian; Galatsis, Kosmas; Chui, Chi On; Candler, Rob N; Wang, Kang L; Choi, Siyoung; Moon, Joo-Tae

    2011-01-01

    We have demonstrated, for the first time, a novel three-dimensional (3D) memory chip architecture of stacked-memory-devices-on-logic (SMOL) achieving up to 95% of cell-area efficiency by directly building up memory devices on top of front-end CMOS devices. In order to realize the SMOL, a unique 3D Flash memory device and vertical integration structure have been successfully developed. The SMOL architecture has great potential to achieve tera-bit level memory density by stacking memory devices vertically and maximizing cell-area efficiency. Furthermore, various emerging devices could replace the 3D memory device to develop new 3D chip architectures.

  7. A stacked memory device on logic 3D technology for ultra-high-density data storage

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jiyoung; Hong, Augustin J; Kim, Sung Min; Shin, Kyeong-Sik; Song, Emil B; Hwang, Yongha; Xiu, Faxian; Galatsis, Kosmas; Chui, Chi On; Candler, Rob N; Wang, Kang L [Device Research Laboratory, Department of Electrical Engineering, University of California, Los Angeles, CA 90095 (United States); Choi, Siyoung; Moon, Joo-Tae, E-mail: hbt100@ee.ucla.edu [Advanced Technology Development Team and Process Development Team, Memory R and D Center, Samsung Electronics Co. Ltd (Korea, Republic of)

    2011-06-24

    We have demonstrated, for the first time, a novel three-dimensional (3D) memory chip architecture of stacked-memory-devices-on-logic (SMOL) achieving up to 95% of cell-area efficiency by directly building up memory devices on top of front-end CMOS devices. In order to realize the SMOL, a unique 3D Flash memory device and vertical integration structure have been successfully developed. The SMOL architecture has great potential to achieve tera-bit level memory density by stacking memory devices vertically and maximizing cell-area efficiency. Furthermore, various emerging devices could replace the 3D memory device to develop new 3D chip architectures.

  8. Bulk heterojunction polymer memory devices with reduced graphene oxide as electrodes.

    Science.gov (United States)

    Liu, Juqing; Yin, Zongyou; Cao, Xiehong; Zhao, Fei; Lin, Anping; Xie, Linghai; Fan, Quli; Boey, Freddy; Zhang, Hua; Huang, Wei

    2010-07-27

    A unique device structure with a configuration of reduced graphene oxide (rGO) /P3HT:PCBM/Al has been designed for the polymer nonvolatile memory device. The current-voltage (I-V) characteristics of the fabricated device showed the electrical bistability with a write-once-read-many-times (WORM) memory effect. The memory device exhibits a high ON/OFF ratio (10(4)-10(5)) and low switching threshold voltage (0.5-1.2 V), which are dependent on the sheet resistance of rGO electrode. Our experimental results confirm that the carrier transport mechanisms in the OFF and ON states are dominated by the thermionic emission current and ohmic current, respectively. The polarization of PCBM domains and the localized internal electrical field formed among the adjacent domains are proposed to explain the electrical transition of the memory device.

  9. Gold volatile species atomization and preconcentration in quartz devices for atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Arslan, Yasin [Institute of Analytical Chemistry of the ASCR, v. v. i., Veveří 97, 602 00 Brno (Czech Republic); Mehmet Akif Ersoy University, Faculty of Arts & Sciences, Chemistry Department, 15030 Burdur (Turkey); Musil, Stanislav; Matoušek, Tomáš; Kratzer, Jan [Institute of Analytical Chemistry of the ASCR, v. v. i., Veveří 97, 602 00 Brno (Czech Republic); Dědina, Jiří, E-mail: dedina@biomed.cas.cz [Institute of Analytical Chemistry of the ASCR, v. v. i., Veveří 97, 602 00 Brno (Czech Republic)

    2015-01-01

    The on-line atomization of gold volatile species was studied and the results were compared with thermodynamic calculations in several quartz atomizers, namely: diffusion flame, flame-in-gas-shield, flame-in-plain-tube, externally heated T-tube and externally heated flame-in-T-tube. Atomization mechanism in the explored devices is proposed, where volatile species are converted to thermodynamically stable AuH at elevated temperature over 500 °C and then atomized by an interaction with a cloud of hydrogen radicals. Because of its inherent simplicity and robustness, diffusion flame was employed as a reference atomizer. It yielded atomization efficiency of 70 to 100% and a very good long time reproducibility of peak area sensitivity: 1.6 to 1.8 s μg{sup −1}. Six and eleven times higher sensitivity, respectively, was provided by atomizers with longer light paths in the observation volume, i.e. externally heated T-tube and externally heated flame-in-T-tube. The latter one, offering limit of detection below 0.01 μg ml{sup −1}, appeared as the most prospective for on-line atomization. Insight into the mechanism of atomization of gold volatile species, into the fate of free atoms and into subsequent analyte transfer allowed to assess possibilities of in-atomizer preconcentration of gold volatile species: it is unfeasible with quartz atomizers but a sapphire tube atomizer could be useful in this respect. - Highlights: • On-line atomization of gold volatile species for AAS in quartz devices was studied. • Atomization mechanism was proposed and atomization efficiency was estimated. • Possibilities of in-atomizer preconcentration of gold volatile species were assessed.

  10. Characterizations of MoTiO5 flash memory devices with post-annealing

    International Nuclear Information System (INIS)

    Kao, Chyuan Haur; Chen, Hsiang; Chen, Su Zhien; Chen, Yu Jie; Chu, Yu Cheng

    2014-01-01

    In this study, high-K MoTiO 5 dielectrics were applied as charge trapping layers in fabricated metal-oxide-high-K MoTiO 5 -oxide-Si-type memory devices. Among the applied MoTiO 5 trapping layer treatment conditions, annealing at 900 °C yielded devices that exhibited superior memory performance, such as a larger memory window and faster programming/erasing speed. Multiple material analyses, namely X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy, confirmed that annealing at 900 °C can improve the material quality as a result of crystallization. The fabricated MoTiO 5 -based memory devices show potential for future commercial memory device applications. - Highlights: • MoTiO5-based flash memories have been fabricated. • MoTiO5 trapping layers could be formed by co-sputtering. • MoTiO5 layers with annealing exhibited a good memory performance. • Multiple material analyses confirm that annealing enhanced crystallization

  11. Multicolour fluorescent memory based on the interaction of hydroxy terphenyls with fluoride anions.

    Science.gov (United States)

    Akamatsu, Masaaki; Mori, Taizo; Okamoto, Ken; Sakai, Hideki; Abe, Masahiko; Hill, Jonathan P; Ariga, Katsuhiko

    2014-12-01

    Memory operations based on variation of a molecule's properties are important because they may lead to device miniaturization to the molecular scale or increasingly complex information processing protocols beyond the binary level. Molecular memory also introduces possibilities related to information-storage security where chemical information (or reagents) might be used as an encryption key, in this case, acidic/basic reagents. Chemical memory that possesses both volatile and non-volatile functionality requires reversible conversion between at least two chemically different stable or quasi-stable states. Here we have developed the phenol-phenoxide equilibrium of phenol fluorophores as a data storage element, which can be used to write or modulate data using chemical reagents. The properties of this system allow data to be stored and erased either in non-volatile or volatile modes. We also demonstrate non-binary switching of states made possible by preparation of  a composite containing the molecular memory elements. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Demonstration of Ultra-Fast Switching in Nano metallic Resistive Switching Memory Devices

    International Nuclear Information System (INIS)

    Yang, Y.

    2016-01-01

    Interdependency of switching voltage and time creates a dilemma/obstacle for most resistive switching memories, which indicates low switching voltage and ultra-fast switching time cannot be simultaneously achieved. In this paper, an ultra-fast (sub-100 ns) yet low switching voltage resistive switching memory device (“nano metallic ReRAM”) was demonstrated. Experimental switching voltage is found independent of pulse width (intrinsic device property) when the pulse is long but shows abrupt time dependence (“cliff”) as pulse width approaches characteristic RC time of memory device (extrinsic device property). Both experiment and simulation show that the onset of cliff behavior is dependent on physical device size and parasitic resistance, which is expected to diminish as technology nodes shrink down. We believe this study provides solid evidence that nano metallic resistive switching memory can be reliably operated at low voltage and ultra-fast regime, thus beneficial to future memory technology.

  13. Resistively heated shape memory polymer device

    Energy Technology Data Exchange (ETDEWEB)

    Marion, III, John E.; Bearinger, Jane P.; Wilson, Thomas S.; Maitland, Duncan J.

    2017-09-05

    A resistively heated shape memory polymer device is made by providing a rod, sheet or substrate that includes a resistive medium. The rod, sheet or substrate is coated with a first shape memory polymer providing a coated intermediate unit. The coated intermediate unit is in turn coated with a conductive material providing a second intermediate unit. The second coated intermediate unit is in turn coated with an outer shape memory polymer. The rod, sheet or substrate is exposed and an electrical lead is attached to the rod, sheet or substrate. The conductive material is exposed and an electrical lead is attached to the conductive material.

  14. Resistively heated shape memory polymer device

    Energy Technology Data Exchange (ETDEWEB)

    Marion, III, John E.; Bearinger, Jane P.; Wilson, Thomas S.; Maitland, Duncan J.

    2016-10-25

    A resistively heated shape memory polymer device is made by providing a rod, sheet or substrate that includes a resistive medium. The rod, sheet or substrate is coated with a first shape memory polymer providing a coated intermediate unit. The coated intermediate unit is in turn coated with a conductive material providing a second intermediate unit. The second coated intermediate unit is in turn coated with an outer shape memory polymer. The rod, sheet or substrate is exposed and an electrical lead is attached to the rod, sheet or substrate. The conductive material is exposed and an electrical lead is attached to the conductive material.

  15. {100} or 45.degree.-rotated {100}, semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2012-05-15

    Novel articles and methods to fabricate the same resulting in flexible, {100} or 45.degree.-rotated {100} oriented, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  16. Piezoelectric control of magnetoelectric coupling driven non-volatile memory switching and self cooling effects in FE/FSMA multiferroic heterostructures

    Science.gov (United States)

    Singh, Kirandeep; Kaur, Davinder

    2017-02-01

    The manipulation of magnetic states and materials' spin degree-of-freedom via a control of an electric (E-) field has been recently pursued to develop magnetoelectric (ME) coupling-driven electronic data storage devices with high read/write endurance, fast dynamic response, and low energy dissipation. One major hurdle for this approach is to develop reliable materials which should be compatible with prevailing silicon (Si)-based complementary metal-oxide-semiconductor (CMOS) technology, simultaneously allowing small voltage for the tuning of magnetization switching. In this regard, multiferroic heterostructures where ferromagnetic (FM) and ferroelectric (FE) layers are alternatively grown on conventional Si substrates are promising as the piezoelectric control of magnetization switching is anticipated to be possible by an E-field. In this work, we study the ferromagnetic shape memory alloys based PbZr0.52Ti0.48O3/Ni50Mn35In15 (PZT/Ni-Mn-In) multiferroic heterostructures, and investigate their potential for CMOS compatible non-volatile magnetic data storage applications. We demonstrate the voltage-impulse controlled nonvolatile, reversible, and bistable magnetization switching at room temperature in Si-integrated PZT/Ni-Mn-In thin film multiferroic heterostructures. We also thoroughly unveil the various intriguing features in these materials, such as E-field tuned ME coupling and magnetocaloric effect, shape memory induced ferroelectric modulation, improved fatigue endurance as well as Refrigeration Capacity (RC). This comprehensive study suggests that these novel materials have a great potential for the development of unconventional nanoscale memory and refrigeration devices with self-cooling effect and enhanced refrigeration efficiency, thus providing a new venue for their applications.

  17. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO{sub 2} for non-volatile memory device

    Energy Technology Data Exchange (ETDEWEB)

    Stepina, N.P. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)], E-mail: nstepina@mail.ru; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)

    2008-11-03

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO{sub 2}, have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO{sub 2} /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots.

  18. High-performance and low-power rewritable SiOx 1 kbit one diode-one resistor crossbar memory array.

    Science.gov (United States)

    Wang, Gunuk; Lauchner, Adam C; Lin, Jian; Natelson, Douglas; Palem, Krishna V; Tour, James M

    2013-09-14

    An entire 1-kilobit crossbar device based upon SiOx resistive memories with integrated diodes has been made. The SiOx -based one diode-one resistor device system has promise to satisfy the prerequisite conditions for next generation non-volatile memory applications. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. A graphene integrated highly transparent resistive switching memory device

    Science.gov (United States)

    Dugu, Sita; Pavunny, Shojan P.; Limbu, Tej B.; Weiner, Brad R.; Morell, Gerardo; Katiyar, Ram S.

    2018-05-01

    We demonstrate the hybrid fabrication process of a graphene integrated highly transparent resistive random-access memory (TRRAM) device. The indium tin oxide (ITO)/Al2O3/graphene nonvolatile memory device possesses a high transmittance of >82% in the visible region (370-700 nm) and exhibits stable and non-symmetrical bipolar switching characteristics with considerably low set and reset voltages (ITO/Al2O3/Pt device and studied its switching characteristics for comparison and a better understanding of the ITO/Al2O3/graphene device characteristics. The conduction mechanisms in high and low resistance states were analyzed, and the observed polarity dependent resistive switching is explained based on electro-migration of oxygen ions.

  20. Fabrication of Nano-Crossbar Resistive Switching Memory Based on the Copper-Tantalum Pentoxide-Platinum Device Structure

    Science.gov (United States)

    Olga Gneri, Paula; Jardim, Marcos

    Resistive switching memory has been of interest lately not only for its simple metal-insulator-metal (MIM) structure but also for its promising ease of scalability an integration into current CMOS technologies like the Field Programmable Gate Arrays and other non-volatile memory applications. There are several resistive switching MIM combinations but under this scope of research, attention will be paid to the bipolar resistive switching characteristics and fabrication of Tantalum Pentaoxide sandwiched between platinum and copper. By changing the polarity of the voltage bias, this metal-insulator-metal (MIM) device can be switched between a high resistive state (OFF) and low resistive state (ON). The change in states is induced by an electrochemical metallization process, which causes a formation or dissolution of Cu metal filamentary paths in the Tantalum Pentaoxide insulator. There is very little thorough experimental information about the Cu-Ta 2O5-Pt switching characteristics when scaled to nanometer dimensions. In this light, the MIM structure was fabricated in a two-dimensional crossbar format. Also, with the limited available resources, a multi-spacer technique was formulated to localize the active device area in this MIM configuration to less than 20nm. This step is important in understanding the switching characteristics and reliability of this structure when scaled to nanometer dimensions.

  1. Radiation Testing, Characterization and Qualification Challenges for Modern Microelectronics and Photonics Devices and Technologies

    Science.gov (United States)

    LaBel, Kenneth A.; Cohn, Lewis M.

    2008-01-01

    At GOMAC 2007, we discussed a selection of the challenges for radiation testing of modern semiconductor devices focusing on state-of-the-art memory technologies. This included FLASH non-volatile memories (NVMs) and synchronous dynamic random access memories (SDRAMs). In this presentation, we extend this discussion in device packaging and complexity as well as single event upset (SEU) mechanisms using several technology areas as examples including: system-on-a-chip (SOC) devices and photonic or fiber optic systems. The underlying goal is intended to provoke thought for understanding the limitations and interpretation of radiation testing results.

  2. Giant magneto-resistance devices

    CERN Document Server

    Hirota, Eiichi; Inomata, Koichiro

    2002-01-01

    This book deals with the application of giant magneto-resistance (GMR) effects to electronic devices. It will appeal to engineers and graduate students in the fields of electronic devices and materials. The main subjects are magnetic sensors with high resolution and magnetic read heads with high sensitivity, required for hard-disk drives with recording densities of several gigabytes. Another important subject is novel magnetic random-access memories (MRAM) with non-volatile non-destructive and radiation-resistant characteristics. Other topics include future GMR devices based on bipolar spin transistors, spin field-effect transistors (FETs) and double-tunnel junctions.

  3. Impacts of Co doping on ZnO transparent switching memory device characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Simanjuntak, Firman Mangasa; Wei, Kung-Hwa [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Prasad, Om Kumar [Department of Electrical Engineering and Computer Science, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Panda, Debashis [Department of Electronics Engineering, National Institute of Science and Technology, Berhampur, Odisha 761008 (India); Lin, Chun-An; Tsai, Tsung-Ling; Tseng, Tseung-Yuen, E-mail: tseng@cc.nctu.edu.tw [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-05-02

    The resistive switching characteristics of indium tin oxide (ITO)/Zn{sub 1−x}Co{sub x}O/ITO transparent resistive memory devices were investigated. An appropriate amount of cobalt dopant in ZnO resistive layer demonstrated sufficient memory window and switching stability. In contrast, pure ZnO devices demonstrated a poor memory window, and using an excessive dopant concentration led to switching instability. To achieve suitable memory performance, relying only on controlling defect concentrations is insufficient; the grain growth orientation of the resistive layer must also be considered. Stable endurance with an ON/OFF ratio of more than one order of magnitude during 5000 cycles confirmed that the Co-doped ZnO device is a suitable candidate for resistive random access memory application. Additionally, fully transparent devices with a high transmittance of up to 90% at wavelength of 550 nm have been fabricated.

  4. Observing the amorphous-to-crystalline phase transition in Ge{sub 2}Sb{sub 2}Te{sub 5} non-volatile memory materials from ab initio molecular-dynamics simulations

    Energy Technology Data Exchange (ETDEWEB)

    Lee, T.H.; Elliott, S.R. [Department of Chemistry, University of Cambridge, Lensfield Road, CB2 1EW Cambridge (United Kingdom)

    2012-10-15

    Phase-change memory is a promising candidate for the next generation of non-volatile memory devices. This technology utilizes reversible phase transitions between amorphous and crystalline phases of a recording material, and has been successfully used in rewritable optical data storage, revealing its feasibility. In spite of the importance of understanding the nucleation and growth processes that play a critical role in the phase transition, this understanding is still incomplete. Here, we present observations of the early stages of crystallization in Ge{sub 2}Sb{sub 2}Te{sub 5} materials through ab initio molecular-dynamics simulations. Planar structures, including fourfold rings and planes, play an important role in the formation and growth of crystalline clusters in the amorphous matrix. At the same time, vacancies facilitate crystallization by providing space at the glass-crystalline interface for atomic diffusion, which results in fast crystal growth, as observed in simulations and experiments. The microscopic mechanism of crystallization presented here may deepen our understanding of the phase transition occurring in real devices, providing an opportunity to optimize the memory performance of phase-change materials. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. A Novel Wireless Wearable Volatile Organic Compound (VOC Monitoring Device with Disposable Sensors

    Directory of Open Access Journals (Sweden)

    Yue Deng

    2016-12-01

    Full Text Available A novel portable wireless volatile organic compound (VOC monitoring device with disposable sensors is presented. The device is miniaturized, light, easy-to-use, and cost-effective. Different field tests have been carried out to identify the operational, analytical, and functional performance of the device and its sensors. The device was compared to a commercial photo-ionization detector, gas chromatography-mass spectrometry, and carbon monoxide detector. In addition, environmental operational conditions, such as barometric change, temperature change and wind conditions were also tested to evaluate the device performance. The multiple comparisons and tests indicate that the proposed VOC device is adequate to characterize personal exposure in many real-world scenarios and is applicable for personal daily use.

  6. Non-volatile resistive switching in the Mott insulator (V1-xCrx)2O3

    Science.gov (United States)

    Querré, M.; Tranchant, J.; Corraze, B.; Cordier, S.; Bouquet, V.; Députier, S.; Guilloux-Viry, M.; Besland, M.-P.; Janod, E.; Cario, L.

    2018-05-01

    The discovery of non-volatile resistive switching in Mott insulators related to an electric-field-induced insulator to metal transition (IMT) has paved the way for their use in a new type of non-volatile memories, the Mott memories. While most of the previous studies were dedicated to uncover the resistive switching mechanism and explore the memory potential of chalcogenide Mott insulators, we present here a comprehensive study of resistive switching in the canonical oxide Mott insulator (V1-xCrx)2O3. Our work demonstrates that this compound undergoes a non-volatile resistive switching under electric field. This resistive switching is induced by a Mott transition at the local scale which creates metallic domains closely related to existing phases of the temperature-pressure phase diagram of (V1-xCrx)2O3. Our work demonstrates also reversible resistive switching in (V1-xCrx)2O3 crystals and thin film devices. Preliminary performances obtained on 880 nm thick layers with 500 nm electrodes show the strong potential of Mott memories based on the Mott insulator (V1-xCrx)2O3.

  7. Organic nonvolatile memory devices with charge trapping multilayer graphene film

    International Nuclear Information System (INIS)

    Ji, Yongsung; Choe, Minhyeok; Cho, Byungjin; Song, Sunghoon; Yoon, Jongwon; Ko, Heung Cho; Lee, Takhee

    2012-01-01

    We fabricated an array-type organic nonvolatile memory device with multilayer graphene (MLG) film embedded in polyimide (PI) layers. The memory devices showed a high ON/OFF ratio (over 10 6 ) and a long retention time (over 10 4 s). The switching of the Al/PI/MLG/PI/Al memory devices was due to the presence of the MLG film inserted into the PI layers. The double-log current–voltage characteristics could be explained by the space-charge-limited current conduction based on a charge-trap model. A conductive atomic force microscopy found that the conduction paths in the low-resistance ON state were distributed in a highly localized area, which was associated with a carbon-rich filamentary switching mechanism. (paper)

  8. Ferroelectric-gate field effect transistor memories device physics and applications

    CERN Document Server

    Ishiwara, Hiroshi; Okuyama, Masanori; Sakai, Shigeki; Yoon, Sung-Min

    2016-01-01

    This book provides comprehensive coverage of the materials characteristics, process technologies, and device operations for memory field-effect transistors employing inorganic or organic ferroelectric thin films. This transistor-type ferroelectric memory has interesting fundamental device physics and potentially large industrial impact. Among the various applications of ferroelectric thin films, the development of nonvolatile ferroelectric random access memory (FeRAM) has progressed most actively since the late 1980s and has achieved modest mass production levels for specific applications since 1995. There are two types of memory cells in ferroelectric nonvolatile memories. One is the capacitor-type FeRAM and the other is the field-effect transistor (FET)-type FeRAM. Although the FET-type FeRAM claims ultimate scalability and nondestructive readout characteristics, the capacitor-type FeRAMs have been the main interest for the major semiconductor memory companies, because the ferroelectric FET has fatal handic...

  9. Resistance Switching Characteristics in ZnO-Based Nonvolatile Memory Devices

    Directory of Open Access Journals (Sweden)

    Fu-Chien Chiu

    2013-01-01

    Full Text Available Bipolar resistance switching characteristics are demonstrated in Pt/ZnO/Pt nonvolatile memory devices. A negative differential resistance or snapback characteristic can be observed when the memory device switches from a high resistance state to a low resistance state due to the formation of filamentary conducting path. The dependence of pulse width and temperature on set/reset voltages was examined in this work. The exponentially decreasing trend of set/reset voltage with increasing pulse width is observed except when pulse width is larger than 1 s. Hence, to switch the ZnO memory devices, a minimum set/reset voltage is required. The set voltage decreases linearly with the temperature whereas the reset voltage is nearly temperature-independent. In addition, the ac cycling endurance can be over 106 switching cycles, whereas, the dependence of HRS/LRS resistance distribution indicates that a significant memory window closure may take place after about 102  dc switching cycles.

  10. Organic ferroelectric/semiconducting nanowire hybrid layer for memory storage

    NARCIS (Netherlands)

    Cai, R.; Kassa, H.G.; Haouari, R.; Marrani, A.; Geerts, Y.H.; Ruzié, C.; Breemen, A.J.J.M. van; Gelinck, G.H.; Nysten, B.; Hu, Z.; Jonas, A.M.

    2016-01-01

    Ferroelectric materials are important components of sensors, actuators and non-volatile memories. However, possible device configurations are limited due to the need to provide screening charges to ferroelectric interfaces to avoid depolarization. Here we show that, by alternating ferroelectric and

  11. Generalized Fractional Processes with Long Memory and Time Dependent Volatility Revisited

    Directory of Open Access Journals (Sweden)

    M. Shelton Peiris

    2016-09-01

    Full Text Available In recent years, fractionally-differenced processes have received a great deal of attention due to their flexibility in financial applications with long-memory. This paper revisits the class of generalized fractionally-differenced processes generated by Gegenbauer polynomials and the ARMA structure (GARMA with both the long-memory and time-dependent innovation variance. We establish the existence and uniqueness of second-order solutions. We also extend this family with innovations to follow GARCH and stochastic volatility (SV. Under certain regularity conditions, we give asymptotic results for the approximate maximum likelihood estimator for the GARMA-GARCH model. We discuss a Monte Carlo likelihood method for the GARMA-SV model and investigate finite sample properties via Monte Carlo experiments. Finally, we illustrate the usefulness of this approach using monthly inflation rates for France, Japan and the United States.

  12. Transistor memory devices with large memory windows, using multi-stacking of densely packed, hydrophobic charge trapping metal nanoparticle array

    International Nuclear Information System (INIS)

    Cho, Ikjun; Cho, Jinhan; Kim, Beom Joon; Cho, Jeong Ho; Ryu, Sook Won

    2014-01-01

    Organic field-effect transistor (OFET) memories have rapidly evolved from low-cost and flexible electronics with relatively low-memory capacities to memory devices that require high-capacity memory such as smart memory cards or solid-state hard drives. Here, we report the high-capacity OFET memories based on the multilayer stacking of densely packed hydrophobic metal NP layers in place of the traditional transistor memory systems based on a single charge trapping layer. We demonstrated that the memory performances of devices could be significantly enhanced by controlling the adsorption isotherm behavior, multilayer stacking structure and hydrophobicity of the metal NPs. For this study, tetraoctylammonium (TOA)-stabilized Au nanoparticles (TOA-Au NPs ) were consecutively layer-by-layer (LbL) assembled with an amine-functionalized poly(amidoamine) dendrimer (PAD). The formed (PAD/TOA-Au NP ) n films were used as a multilayer stacked charge trapping layer at the interface between the tunneling dielectric layer and the SiO 2 gate dielectric layer. For a single Au NP layer (i.e. PAD/TOA-Au NP ) 1 ) with a number density of 1.82 × 10 12 cm −2 , the memory window of the OFET memory device was measured to be approximately 97 V. The multilayer stacked OFET memory devices prepared with four Au NP layers exhibited excellent programmable memory properties (i.e. a large memory window (ΔV th ) exceeding 145 V, a fast switching speed (1 μs), a high program/erase (P/E) current ratio (greater than 10 6 ) and good electrical reliability) during writing and erasing over a relatively short time scale under an operation voltage of 100 V applied at the gate. (paper)

  13. A Compute Capable SSD Architecture for Next-Generation Non-volatile Memories

    Energy Technology Data Exchange (ETDEWEB)

    De, Arup [Univ. of California, San Diego, CA (United States)

    2014-01-01

    Existing storage technologies (e.g., disks and ash) are failing to cope with the processor and main memory speed and are limiting the overall perfor- mance of many large scale I/O or data-intensive applications. Emerging fast byte-addressable non-volatile memory (NVM) technologies, such as phase-change memory (PCM), spin-transfer torque memory (STTM) and memristor are very promising and are approaching DRAM-like performance with lower power con- sumption and higher density as process technology scales. These new memories are narrowing down the performance gap between the storage and the main mem- ory and are putting forward challenging problems on existing SSD architecture, I/O interface (e.g, SATA, PCIe) and software. This dissertation addresses those challenges and presents a novel SSD architecture called XSSD. XSSD o oads com- putation in storage to exploit fast NVMs and reduce the redundant data tra c across the I/O bus. XSSD o ers a exible RPC-based programming framework that developers can use for application development on SSD without dealing with the complication of the underlying architecture and communication management. We have built a prototype of XSSD on the BEE3 FPGA prototyping system. We implement various data-intensive applications and achieve speedup and energy ef- ciency of 1.5-8.9 and 1.7-10.27 respectively. This dissertation also compares XSSD with previous work on intelligent storage and intelligent memory. The existing ecosystem and these new enabling technologies make this system more viable than earlier ones.

  14. Nonvolatile Memory Technology for Space Applications

    Science.gov (United States)

    Oldham, Timothy R.; Irom, Farokh; Friendlich, Mark; Nguyen, Duc; Kim, Hak; Berg, Melanie; LaBel, Kenneth A.

    2010-01-01

    This slide presentation reviews several forms of nonvolatile memory for use in space applications. The intent is to: (1) Determine inherent radiation tolerance and sensitivities, (2) Identify challenges for future radiation hardening efforts, (3) Investigate new failure modes and effects, and technology modeling programs. Testing includes total dose, single event (proton, laser, heavy ion), and proton damage (where appropriate). Test vehicles are expected to be a variety of non-volatile memory devices as available including Flash (NAND and NOR), Charge Trap, Nanocrystal Flash, Magnetic Memory (MRAM), Phase Change--Chalcogenide, (CRAM), Ferroelectric (FRAM), CNT, and Resistive RAM.

  15. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    International Nuclear Information System (INIS)

    Ando, K.; Yuasa, S.; Fujita, S.; Ito, J.; Yoda, H.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.

    2014-01-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed

  16. Field-effect transistor memories based on ferroelectric polymers

    Science.gov (United States)

    Zhang, Yujia; Wang, Haiyang; Zhang, Lei; Chen, Xiaomeng; Guo, Yu; Sun, Huabin; Li, Yun

    2017-11-01

    Field-effect transistors based on ferroelectrics have attracted intensive interests, because of their non-volatile data retention, rewritability, and non-destructive read-out. In particular, polymeric materials that possess ferroelectric properties are promising for the fabrications of memory devices with high performance, low cost, and large-area manufacturing, by virtue of their good solubility, low-temperature processability, and good chemical stability. In this review, we discuss the material characteristics of ferroelectric polymers, providing an update on the current development of ferroelectric field-effect transistors (Fe-FETs) in non-volatile memory applications. Program supported partially by the NSFC (Nos. 61574074, 61774080), NSFJS (No. BK20170075), and the Open Partnership Joint Projects of NSFC-JSPS Bilateral Joint Research Projects (No. 61511140098).

  17. Metal-free, single-polymer device exhibits resistive memory effect

    KAUST Repository

    Bhansali, Unnat Sampatraj; Khan, Yasser; Cha, Dong Kyu; Almadhoun, Mahmoud N.; Li, Ruipeng; Chen, Long; Amassian, Aram; Odeh, Ihab N.; Alshareef, Husam N.

    2013-01-01

    All-polymer, write-once-read-many times resistive memory devices have been fabricated on flexible substrates using a single polymer, poly(3,4- ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS). Spin-cast or inkjet-printed films of solvent-modified PEDOT:PSS are used as electrodes, while the unmodified or as-is PEDOT:PSS is used as the semiconducting active layer. The all-polymer devices exhibit an irreversible but stable transition from a low resistance state (ON) to a high resistance state (OFF) at low voltages caused by an electric-field-induced morphological rearrangement of PEDOT and PSS at the electrode interface. However, in the metal-PEDOT:PSS-metal devices, we have shown a metal filament formation switching the device from an initial high resistance state (OFF) to the low resistance state (ON). The all-PEDOT:PSS memory device has low write voltages (<3 V), high ON/OFF ratio (>10 3), good retention characteristics (>10 000 s), and stability in ambient storage (>3 months). © 2013 American Chemical Society.

  18. Metal-free, single-polymer device exhibits resistive memory effect

    KAUST Repository

    Bhansali, Unnat Sampatraj

    2013-12-23

    All-polymer, write-once-read-many times resistive memory devices have been fabricated on flexible substrates using a single polymer, poly(3,4- ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS). Spin-cast or inkjet-printed films of solvent-modified PEDOT:PSS are used as electrodes, while the unmodified or as-is PEDOT:PSS is used as the semiconducting active layer. The all-polymer devices exhibit an irreversible but stable transition from a low resistance state (ON) to a high resistance state (OFF) at low voltages caused by an electric-field-induced morphological rearrangement of PEDOT and PSS at the electrode interface. However, in the metal-PEDOT:PSS-metal devices, we have shown a metal filament formation switching the device from an initial high resistance state (OFF) to the low resistance state (ON). The all-PEDOT:PSS memory device has low write voltages (<3 V), high ON/OFF ratio (>10 3), good retention characteristics (>10 000 s), and stability in ambient storage (>3 months). © 2013 American Chemical Society.

  19. Terrestrial neutron-induced soft errors in advanced memory devices

    CERN Document Server

    Nakamura, Takashi; Ibe, Eishi; Yahagi, Yasuo; Kameyama, Hideaki

    2008-01-01

    Terrestrial neutron-induced soft errors in semiconductor memory devices are currently a major concern in reliability issues. Understanding the mechanism and quantifying soft-error rates are primarily crucial for the design and quality assurance of semiconductor memory devices. This book covers the relevant up-to-date topics in terrestrial neutron-induced soft errors, and aims to provide succinct knowledge on neutron-induced soft errors to the readers by presenting several valuable and unique features. Sample Chapter(s). Chapter 1: Introduction (238 KB). Table A.30 mentioned in Appendix A.6 on

  20. Memory-assisted measurement-device-independent quantum key distribution

    Science.gov (United States)

    Panayi, Christiana; Razavi, Mohsen; Ma, Xiongfeng; Lütkenhaus, Norbert

    2014-04-01

    A protocol with the potential of beating the existing distance records for conventional quantum key distribution (QKD) systems is proposed. It borrows ideas from quantum repeaters by using memories in the middle of the link, and that of measurement-device-independent QKD, which only requires optical source equipment at the user's end. For certain memories with short access times, our scheme allows a higher repetition rate than that of quantum repeaters with single-mode memories, thereby requiring lower coherence times. By accounting for various sources of nonideality, such as memory decoherence, dark counts, misalignment errors, and background noise, as well as timing issues with memories, we develop a mathematical framework within which we can compare QKD systems with and without memories. In particular, we show that with the state-of-the-art technology for quantum memories, it is potentially possible to devise memory-assisted QKD systems that, at certain distances of practical interest, outperform current QKD implementations.

  1. Memory-assisted measurement-device-independent quantum key distribution

    International Nuclear Information System (INIS)

    Panayi, Christiana; Razavi, Mohsen; Ma, Xiongfeng; Lütkenhaus, Norbert

    2014-01-01

    A protocol with the potential of beating the existing distance records for conventional quantum key distribution (QKD) systems is proposed. It borrows ideas from quantum repeaters by using memories in the middle of the link, and that of measurement-device-independent QKD, which only requires optical source equipment at the user's end. For certain memories with short access times, our scheme allows a higher repetition rate than that of quantum repeaters with single-mode memories, thereby requiring lower coherence times. By accounting for various sources of nonideality, such as memory decoherence, dark counts, misalignment errors, and background noise, as well as timing issues with memories, we develop a mathematical framework within which we can compare QKD systems with and without memories. In particular, we show that with the state-of-the-art technology for quantum memories, it is potentially possible to devise memory-assisted QKD systems that, at certain distances of practical interest, outperform current QKD implementations. (paper)

  2. Guide wire extension for shape memory polymer occlusion removal devices

    Science.gov (United States)

    Maitland, Duncan J [Pleasant Hill, CA; Small, IV, Ward; Hartman, Jonathan [Sacramento, CA

    2009-11-03

    A flexible extension for a shape memory polymer occlusion removal device. A shape memory polymer instrument is transported through a vessel via a catheter. A flexible elongated unit is operatively connected to the distal end of the shape memory polymer instrument to enhance maneuverability through tortuous paths en route to the occlusion.

  3. Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications

    Science.gov (United States)

    Erlbacher, T.; Huerner, A.; Bauer, A. J.; Frey, L.

    2012-09-01

    Anti-fuse devices based on non-volatile memory cells and suitable for power electronic applications are demonstrated for the first time using silicon technology. These devices may be applied as stand alone devices or integrated using standard junction-isolation into application-specific and smart-power integrated circuits. The on-resistance of such devices can be permanently switched by nine orders of magnitude by triggering the anti-fuse with a positive voltage pulse. Extrapolation of measurement data and 2D TCAD process and device simulations indicate that 20 A anti-fuses with 10 mΩ can be reliably fabricated in 0.35 μm technology with a footprint of 2.5 mm2. Moreover, this concept offers distinguished added-values compared to existing mechanical relays, e.g. pre-test, temporary and permanent reset functions, gradual turn-on mode, non-volatility, and extendibility to high voltage capability.

  4. Combating Memory Corruption Attacks On Scada Devices

    Science.gov (United States)

    Bellettini, Carlo; Rrushi, Julian

    Memory corruption attacks on SCADA devices can cause significant disruptions to control systems and the industrial processes they operate. However, despite the presence of numerous memory corruption vulnerabilities, few, if any, techniques have been proposed for addressing the vulnerabilities or for combating memory corruption attacks. This paper describes a technique for defending against memory corruption attacks by enforcing logical boundaries between potentially hostile data and safe data in protected processes. The technique encrypts all input data using random keys; the encrypted data is stored in main memory and is decrypted according to the principle of least privilege just before it is processed by the CPU. The defensive technique affects the precision with which attackers can corrupt control data and pure data, protecting against code injection and arc injection attacks, and alleviating problems posed by the incomparability of mitigation techniques. An experimental evaluation involving the popular Modbus protocol demonstrates the feasibility and efficiency of the defensive technique.

  5. Memory operation devices based on light-illumination ambipolar carbon-nanotube thin-film-transistors

    International Nuclear Information System (INIS)

    Aïssa, B.; Nedil, M.; Kroeger, J.; Haddad, T.; Rosei, F.

    2015-01-01

    We report the memory operation behavior of a light illumination ambipolar single-walled carbon nanotube thin film field-effect transistors devices. In addition to the high electronic-performance, such an on/off transistor-switching ratio of 10 4 and an on-conductance of 18 μS, these memory devices have shown a high retention time of both hole and electron-trapping modes, reaching 2.8 × 10 4  s at room temperature. The memory characteristics confirm that light illumination and electrical field can act as an independent programming/erasing operation method. This could be a fundamental step toward achieving high performance and stable operating nanoelectronic memory devices

  6. Charge Carrier Transport Mechanism Based on Stable Low Voltage Organic Bistable Memory Device.

    Science.gov (United States)

    Ramana, V V; Moodley, M K; Kumar, A B V Kiran; Kannan, V

    2015-05-01

    A solution processed two terminal organic bistable memory device was fabricated utilizing films of polymethyl methacrylate PMMA/ZnO/PMMA on top of ITO coated glass. Electrical characterization of the device structure showed that the two terminal device exhibited favorable switching characteristics with an ON/OFF ratio greater than 1 x 10(4) when the voltage was swept between - 2 V and +3 V. The device maintained its state after removal of the bias voltage. The device did not show degradation after a 1-h retention test at 120 degrees C. The memory functionality was consistent even after fifty cycles of operation. The charge transport switching mechanism is discussed on the basis of carrier transport mechanism and our analysis of the data shows that the charge carrier trans- port mechanism of the device during the writing process can be explained by thermionic emission (TE) and space-charge-limited-current (SCLC) mechanism models while erasing process could be explained by the FN tunneling mechanism. This demonstration provides a class of memory devices with the potential for low-cost, low-power consumption applications, such as a digital memory cell.

  7. Development of novel nonvolatile memory devices using the colossal magnetoresistive oxide praseodymium-calcium-manganese trioxide

    Science.gov (United States)

    Papagianni, Christina

    Pr0.7Ca0.3MnO3 (PCMO) manganese oxide belongs in the family of materials known as transition metal oxides. These compounds have received increased attention due to their perplexing properties such as Colossal Magnetoresistance effect, Charge-Ordered phase, existence of phase-separated states etc. In addition, it was recently discovered that short electrical pulses in amplitude and duration are sufficient to induce reversible and non-volatile resistance changes in manganese perovskite oxide thin films at room temperature, known as the EPIR effect. The existence of the EPIR effect in PCMO thin films at room temperature opens a viable way for the realization of fast, high-density, low power non-volatile memory devices in the near future. The purpose of this study is to investigate, optimize and understand the properties of Pr0.7Ca0.3MnO 3 (PCMO) thin film devices and to identify how these properties affect the EPIR effect. PCMO thin films were deposited on various substrates, such as metals, and conducting and insulating oxides, by pulsed laser and radio frequency sputtering methods. Our objective was to understand and compare the induced resistive states. We attempted to identify the induced resistance changes by considering two resistive models to be equivalent to our devices. Impedance spectroscopy was also utilized in a wide temperature range that was extended down to 70K. Fitted results of the temperature dependence of the resistance states were also included in this study. In the same temperature range, we probed the resistance changes in PCMO thin films and we examined whether the phase transitions affect the EPIR effect. In addition, we included a comparison of devices with electrodes consisting of different size and different materials. We demonstrated a direct relation between the EPIR effect and the phase diagram of bulk PCMO samples. A model that could account for the observed EPIR effect is presented.

  8. Memory operation devices based on light-illumination ambipolar carbon-nanotube thin-film-transistors

    Energy Technology Data Exchange (ETDEWEB)

    Aïssa, B., E-mail: aissab@emt.inrs.ca [Qatar Environment and Energy Research Institute (QEERI), Qatar Foundation, P.O. Box 5825, Doha (Qatar); Centre Energie, Matériaux et Télécommunications, INRS, 1650, Boulevard Lionel-Boulet Varennes, Quebec J3X 1S2 (Canada); Nedil, M. [Telebec Wireless Underground Communication Laboratory, UQAT, 675, 1ère Avenue, Val d' Or, Quebec J9P 1Y3 (Canada); Kroeger, J. [NanoIntegris & Raymor Nanotech, Raymor Industries Inc., 3765 La Vérendrye, Boisbriand, Quebec J7H 1R8 (Canada); Haddad, T. [Department of Mechanical Engineering, McGill University, Montreal, Quebec H3A 0B8 (Canada); Rosei, F. [Centre Energie, Matériaux et Télécommunications, INRS, 1650, Boulevard Lionel-Boulet Varennes, Quebec J3X 1S2 (Canada)

    2015-09-28

    We report the memory operation behavior of a light illumination ambipolar single-walled carbon nanotube thin film field-effect transistors devices. In addition to the high electronic-performance, such an on/off transistor-switching ratio of 10{sup 4} and an on-conductance of 18 μS, these memory devices have shown a high retention time of both hole and electron-trapping modes, reaching 2.8 × 10{sup 4} s at room temperature. The memory characteristics confirm that light illumination and electrical field can act as an independent programming/erasing operation method. This could be a fundamental step toward achieving high performance and stable operating nanoelectronic memory devices.

  9. The information content of implied volatilities of options on eurodeposit futures traded on the LIFFE: is there long memory?

    OpenAIRE

    Cifarelli, giulio

    2002-01-01

    Under rather general conditions Black - Scholes implied volatilities from at-the-money options appropriately quantify, in each period, the market expectations of the average volatility of the return of the underlying asset until contract expiration. The efficiency of these expectation estimates is investigated here, for options on two major short term interest rate futures contracts traded at the LIFFE, using a long memory framework. Over the 1993 – 1997 time interval the performance of im...

  10. Light programmable organic transistor memory device based on hybrid dielectric

    Science.gov (United States)

    Ren, Xiaochen; Chan, Paddy K. L.

    2013-09-01

    We have fabricated the transistor memory devices based on SiO2 and polystyrene (PS) hybrid dielectric. The trap states densities with different semiconductors have been investigated and a maximum 160V memory window between programming and erasing is realized. For DNTT based transistor, the trapped electron density is limited by the number of mobile electrons in semiconductor. The charge transport mechanism is verified by light induced Vth shift effect. Furthermore, in order to meet the low operating power requirement of portable electronic devices, we fabricated the organic memory transistor based on AlOx/self-assembly monolayer (SAM)/PS hybrid dielectric, the effective capacitance of hybrid dielectric is 210 nF cm-2 and the transistor can reach saturation state at -3V gate bias. The memory window in transfer I-V curve is around 1V under +/-5V programming and erasing bias.

  11. Configurable Resistive Switching between Memory and Threshold Characteristics for Protein-Based Devices

    KAUST Repository

    Wang, Hong

    2015-05-01

    The employ of natural biomaterials as the basic building blocks of electronic devices is of growing interest for biocompatible and green electronics. Here, resistive switching (RS) devices based on naturally silk protein with configurable functionality are demonstrated. The RS type of the devices can be effectively and exactly controlled by controlling the compliance current in the set process. Memory RS can be triggered by a higher compliance current, while threshold RS can be triggered by a lower compliance current. Furthermore, two types of memory devices, working in random access and WORM modes, can be achieved with the RS effect. The results suggest that silk protein possesses the potential for sustainable electronics and data storage. In addition, this finding would provide important guidelines for the performance optimization of biomaterials based memory devices and the study of the underlying mechanism behind the RS effect arising from biomaterials. Resistive switching (RS) devices with configurable functionality based on protein are successfully achieved. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Multistate Memristive Tantalum Oxide Devices for Ternary Arithmetic

    Science.gov (United States)

    Kim, Wonjoo; Chattopadhyay, Anupam; Siemon, Anne; Linn, Eike; Waser, Rainer; Rana, Vikas

    2016-11-01

    Redox-based resistive switching random access memory (ReRAM) offers excellent properties to implement future non-volatile memory arrays. Recently, the capability of two-state ReRAMs to implement Boolean logic functionality gained wide interest. Here, we report on seven-states Tantalum Oxide Devices, which enable the realization of an intrinsic modular arithmetic using a ternary number system. Modular arithmetic, a fundamental system for operating on numbers within the limit of a modulus, is known to mathematicians since the days of Euclid and finds applications in diverse areas ranging from e-commerce to musical notations. We demonstrate that multistate devices not only reduce the storage area consumption drastically, but also enable novel in-memory operations, such as computing using high-radix number systems, which could not be implemented using two-state devices. The use of high radix number system reduces the computational complexity by reducing the number of needed digits. Thus the number of calculation operations in an addition and the number of logic devices can be reduced.

  13. Electronic memory devices based on the chalcone with negative electrostatic potential regions

    International Nuclear Information System (INIS)

    Yan, Bao-Long; Sun, Ru; Ge, Jian-Feng; Wang, Dong; Li, Hua; Lu, Jian-Mei

    2013-01-01

    The molecular electrostatic potential (ESP) properties were used for the explanation of organic electric memory ability. Several chalcone compounds, owning a negative ESP region locates at the oxygen atom, were selected in this paper to validate the selection of compounds for organic memory materials. The synthesis, characterization, fabrication of the organic memory devices and the electrical properties for them were reported, and they were shown as WORM (write once read many times) type memory devices. The molecular geometries were optimized by the addition of a changeable electric field in the x direction inside the molecules using FF-DFT (Finite Field-Density Functionary Theory) method. The relationship between ESP of the molecules under different electric field and the property was discussed, and the mechanisms associated with the memory effect were also elucidated from DFT calculation results. - Highlights: • The molecular electrostatic potential (ESP) properties were used. • The chalcone compounds were used for the WORM type device. • The molecular geometries were optimized by the addition of a changeable electric field in the x direction. • The structure–property relationship was discussed

  14. Recent trends in hardware security exploiting hybrid CMOS-resistive memory circuits

    Science.gov (United States)

    Sahay, Shubham; Suri, Manan

    2017-12-01

    This paper provides a comprehensive review and insight of recent trends in the field of random number generator (RNG) and physically unclonable function (PUF) circuits implemented using different types of emerging resistive non-volatile (NVM) memory devices. We present a detailed review of hybrid RNG/PUF implementations based on the use of (i) Spin-Transfer Torque (STT-MRAM), and (ii) metal-oxide based (OxRAM), NVM devices. Various approaches on Hybrid CMOS-NVM RNG/PUF circuits are considered, followed by a discussion on different nanoscale device phenomena. Certain nanoscale device phenomena (variability/stochasticity etc), which are otherwise undesirable for reliable memory and storage applications, form the basis for low power and highly scalable RNG/PUF circuits. Detailed qualitative comparison and benchmarking of all implementations is performed.

  15. A study on carbon nanotube bridge as a electromechanical memory device

    Science.gov (United States)

    Kang, Jeong Won; Ha Lee, Jun; Joo Lee, Hoong; Hwang, Ho Jung

    2005-04-01

    A nanoelectromechanical (NEM) nanotube random access memory (NRAM) device based on carbon nanotube (CNT) was investigated using atomistic simulations. For the CNT-based NEM memory, the mechanical properties of the CNT-bridge and van der Waals interactions between the CNT-bridge and substrate were very important. The critical amplitude of the CNT-bridge was 16% of the length of the CNT-bridge. As molecular dynamics time increased, the CNT-bridge went to the steady state under the electrostatic force with the damping of the potential and the kinetic energies of the CNT-bridge. The interatomic interaction between the CNT-bridge and substrate, value of the CNT-bridge slack, and damping rate of the CNT-bridge were very important for the operation of the NEM memory device as a nonvolatile memory.

  16. Scientific developments of liquid crystal-based optical memory: a review

    Science.gov (United States)

    Prakash, Jai; Chandran, Achu; Biradar, Ashok M.

    2017-01-01

    The memory behavior in liquid crystals (LCs), although rarely observed, has made very significant headway over the past three decades since their discovery in nematic type LCs. It has gone from a mere scientific curiosity to application in variety of commodities. The memory element formed by numerous LCs have been protected by patents, and some commercialized, and used as compensation to non-volatile memory devices, and as memory in personal computers and digital cameras. They also have the low cost, large area, high speed, and high density memory needed for advanced computers and digital electronics. Short and long duration memory behavior for industrial applications have been obtained from several LC materials, and an LC memory with interesting features and applications has been demonstrated using numerous LCs. However, considerable challenges still exist in searching for highly efficient, stable, and long-lifespan materials and methods so that the development of useful memory devices is possible. This review focuses on the scientific and technological approach of fascinating applications of LC-based memory. We address the introduction, development status, novel design and engineering principles, and parameters of LC memory. We also address how the amalgamation of LCs could bring significant change/improvement in memory effects in the emerging field of nanotechnology, and the application of LC memory as the active component for futuristic and interesting memory devices.

  17. Process Qualification Strategy for Advances Embedded Non Volatile Memory Technology : The Philips' 0.18um Embedded Flash Case

    NARCIS (Netherlands)

    Tao, Guoqiao; Scarpa, Andrea; van Dijk, Kitty; Kuper, Fred G.

    2003-01-01

    A qualification strategy for advanced embedded non-volatile memory technology has been revealed. This strategy consists of: a thorough understanding of the requirements, extensive use and frequent update of the FMEA (failure mode effect analysis), a qualification plan with excellent coverage of all

  18. Level Shifts in Volatility and the Implied-Realized Volatility Relation

    DEFF Research Database (Denmark)

    Christensen, Bent Jesper; de Magistris, Paolo Santucci

    We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization to the mult......We propose a simple model in which realized stock market return volatility and implied volatility backed out of option prices are subject to common level shifts corresponding to movements between bull and bear markets. The model is estimated using the Kalman filter in a generalization...... to the multivariate case of the univariate level shift technique by Lu and Perron (2008). An application to the S&P500 index and a simulation experiment show that the recently documented empirical properties of strong persistence in volatility and forecastability of future realized volatility from current implied...... volatility, which have been interpreted as long memory (or fractional integration) in volatility and fractional cointegration between implied and realized volatility, are accounted for by occasional common level shifts....

  19. Non-Hebbian learning implementation in light-controlled resistive memory devices.

    Science.gov (United States)

    Ungureanu, Mariana; Stoliar, Pablo; Llopis, Roger; Casanova, Fèlix; Hueso, Luis E

    2012-01-01

    Non-Hebbian learning is often encountered in different bio-organisms. In these processes, the strength of a synapse connecting two neurons is controlled not only by the signals exchanged between the neurons, but also by an additional factor external to the synaptic structure. Here we show the implementation of non-Hebbian learning in a single solid-state resistive memory device. The output of our device is controlled not only by the applied voltages, but also by the illumination conditions under which it operates. We demonstrate that our metal/oxide/semiconductor device learns more efficiently at higher applied voltages but also when light, an external parameter, is present during the information writing steps. Conversely, memory erasing is more efficiently at higher applied voltages and in the dark. Translating neuronal activity into simple solid-state devices could provide a deeper understanding of complex brain processes and give insight into non-binary computing possibilities.

  20. A two-step annealing process for enhancing the ferroelectric properties of poly(vinylidene fluoride) (PVDF) devices

    KAUST Repository

    Park, Jihoon; Kurra, Narendra; AlMadhoun, M. N.; Odeh, Ihab N.; Alshareef, Husam N.

    2015-01-01

    We report a simple two-step annealing scheme for the fabrication of stable non-volatile memory devices employing poly(vinylidene fluoride) (PVDF) polymer thin-films. The proposed two-step annealing scheme comprises the crystallization

  1. RFID and Memory Devices Fabricated Integrally on Substrates

    Science.gov (United States)

    Schramm, Harry F.

    2004-01-01

    Electronic identification devices containing radio-frequency identification (RFID) circuits and antennas would be fabricated integrally with the objects to be identified, according to a proposal. That is to say, the objects to be identified would serve as substrates for the deposition and patterning of the materials of the devices used to identify them, and each identification device would be bonded to the identified object at the molecular level. Vacuum arc vapor deposition (VAVD) is the NASA derived process for depositing layers of material on the substrate. This proposal stands in contrast to the current practice of fabricating RFID and/or memory devices as wafer-based, self-contained integrated-circuit chips that are subsequently embedded in or attached to plastic cards to make smart account-information cards and identification badges. If one relies on such a chip to store data on the history of an object to be tracked and the chip falls off or out of the object, then one loses both the historical data and the means to track the object and verify its identity electronically. Also, in contrast is the manufacturing philosophy in use today to make many memory devices. Today s methods involve many subtractive processes such as etching. This proposal only uses additive methods, building RFID and memory devices from the substrate up in thin layers. VAVD is capable of spraying silicon, copper, and other materials commonly used in electronic devices. The VAVD process sprays most metals and some ceramics. The material being sprayed has a very strong bond with the substrate, whether that substrate is metal, ceramic, or even wood, rock, glass, PVC, or paper. An object to be tagged with an identification device according to the proposal must be compatible with a vacuum deposition process. Temperature is seldom an issue as the substrate rarely reaches 150 F (66 C) during the deposition process. A portion of the surface of the object would be designated as a substrate for

  2. Nonvolatile write-once-read-many-times memory device with functionalized-nanoshells/PEDOT:PSS nanocomposites

    International Nuclear Information System (INIS)

    Avila-Nino, J.A.; Segura-Cardenas, E.; Sustaita, A.O.; Cruz-Cruz, I.; Lopez-Sandoval, R.; Reyes-Reyes, M.

    2011-01-01

    We have investigated the memory effect of the nanocomposites of functionalized carbon nanoshells (f-CNSs) mixed with poly(3,4-ethylenedioxythiophene) doped with polystyrenesulfonate (PEDOT:PSS) polymer. The f-CNSs were synthesized by the spray pyrolysis method and functionalized in situ with functional groups (OH, COOH, C-H, C-OH) with the aim of improving their compatibility in the aqueous dispersion of PEDOT:PSS. The current-voltage (I-V) sweep curves at room temperature for the Al/f-CNSs, for certain concentrations range, embedded in a PEDOT:PSS layer/Al devices showed electrical bistability for write-once-read-many-times (WORM) memory devices. The memory effect observed in the devices can be explained due to the existence of trapped charges in the f-CNSs/PEDOT:PSS layer. The carrier transport mechanisms for the memory devices is studied and discussed.

  3. Nonvolatile write-once-read-many-times memory device with functionalized-nanoshells/PEDOT:PSS nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Avila-Nino, J.A.; Segura-Cardenas, E. [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Alvaro Obregon 64 Zona Centro, 78000 SLP (Mexico); Sustaita, A.O. [Instituto Potosino de Investigacion Cientifica y Tecnologica, Camino a la presa San Jose 2055, CP 78216, San Luis Potosi (Mexico); Cruz-Cruz, I. [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Alvaro Obregon 64 Zona Centro, 78000 SLP (Mexico); Lopez-Sandoval, R. [Instituto Potosino de Investigacion Cientifica y Tecnologica, Camino a la presa San Jose 2055, CP 78216, San Luis Potosi (Mexico); Reyes-Reyes, M., E-mail: reyesm@iico.uaslp.mx [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Alvaro Obregon 64 Zona Centro, 78000 SLP (Mexico)

    2011-03-25

    We have investigated the memory effect of the nanocomposites of functionalized carbon nanoshells (f-CNSs) mixed with poly(3,4-ethylenedioxythiophene) doped with polystyrenesulfonate (PEDOT:PSS) polymer. The f-CNSs were synthesized by the spray pyrolysis method and functionalized in situ with functional groups (OH, COOH, C-H, C-OH) with the aim of improving their compatibility in the aqueous dispersion of PEDOT:PSS. The current-voltage (I-V) sweep curves at room temperature for the Al/f-CNSs, for certain concentrations range, embedded in a PEDOT:PSS layer/Al devices showed electrical bistability for write-once-read-many-times (WORM) memory devices. The memory effect observed in the devices can be explained due to the existence of trapped charges in the f-CNSs/PEDOT:PSS layer. The carrier transport mechanisms for the memory devices is studied and discussed.

  4. Multilevel characteristics and memory mechanisms for nonvolatile memory devices based on CuInS2 quantum dot-polymethylmethacrylate nanocomposites

    International Nuclear Information System (INIS)

    Zhou, Yang; Yun, Dong Yeol; Kim, Tae Whan; Kim, Sang Wook

    2014-01-01

    Nonvolatile memory devices based on CuInS 2 (CIS) quantum dots (QDs) embedded in a polymethylmethacrylate (PMMA) layer were fabricated using spin-coating method. The memory window widths of the capacitance-voltage (C-V) curves for the Al/CIS QDs embedded in PMMA layer/p-Si devices were 0.3, 0.6, and 1.0 V for sweep voltages of ±3, ±5, and ±7 V, respectively. Capacitance-cycle data demonstrated that the charge-trapping capability of the devices with an ON/OFF ratio value of 2.81 × 10 −10 was maintained for 8 × 10 3 cycles without significant degradation and that the extrapolation of the ON/OFF ratio value to 1 × 10 6 cycles converged to 2.40 × 10 −10 , indicative of the good stability of the devices. The memory mechanisms for the devices are described on the basis of the C-V curves and the energy-band diagrams

  5. Nonvolatile rewritable memory device based on solution-processable graphene/poly(3-hexylthiophene) nanocomposite

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Li, E-mail: lizhang9@zzu.edu.cn [School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450052 (China); Li, Ye; Shi, Jun [School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450052 (China); Shi, Gaoquan [Department of Chemistry, Tsinghua University, Beijing 100084 (China); Cao, Shaokui, E-mail: Caoshaokui@zzu.edu.cn [School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450052 (China)

    2013-11-01

    An electrically bistable device utilizing a nanocomposite of hexadecylamine-functionalized graphene oxide (HDAGO) with poly(3-hexylthiophene) (P3HT) is demonstrated. The device has an ITO/P3HT-HDAGO/Al sandwich structure, in which the composite film of P3HT-HDAGO was prepared by simple solution phase mixing of the exfoliated HDAGO monolayers with P3HT matrix and a spin-coating method. The memory device exhibits typical bistable electrical switching behavior and a nonvolatile rewritable memory effect, with a turn-on voltage of about 1.5 V and an ON/OFF-state current ratio of 10{sup 5}. Under ambient conditions, both the ON and OFF states are stable under a constant voltage stress or a continuous pulse voltage stress at a read voltage of 1 V. The conduction mechanism is deduced from the modeling of the nature of currents in both states, and the electrical switching behavior can be attributed to the electric-field-induced charge transfer between P3HT and HDAGO nanosheets. - Highlights: • Nonvolatile rewritable memory effect in P3HT–graphene composite is demonstrated. • The memory device was fabricated through a simple solution processing technique. • The device shows a remarkable electrical bistable behavior and excellent stability. • Memory mechanism is deduced from the modeling of the currents in both states.

  6. Nonvolatile rewritable memory device based on solution-processable graphene/poly(3-hexylthiophene) nanocomposite

    International Nuclear Information System (INIS)

    Zhang, Li; Li, Ye; Shi, Jun; Shi, Gaoquan; Cao, Shaokui

    2013-01-01

    An electrically bistable device utilizing a nanocomposite of hexadecylamine-functionalized graphene oxide (HDAGO) with poly(3-hexylthiophene) (P3HT) is demonstrated. The device has an ITO/P3HT-HDAGO/Al sandwich structure, in which the composite film of P3HT-HDAGO was prepared by simple solution phase mixing of the exfoliated HDAGO monolayers with P3HT matrix and a spin-coating method. The memory device exhibits typical bistable electrical switching behavior and a nonvolatile rewritable memory effect, with a turn-on voltage of about 1.5 V and an ON/OFF-state current ratio of 10 5 . Under ambient conditions, both the ON and OFF states are stable under a constant voltage stress or a continuous pulse voltage stress at a read voltage of 1 V. The conduction mechanism is deduced from the modeling of the nature of currents in both states, and the electrical switching behavior can be attributed to the electric-field-induced charge transfer between P3HT and HDAGO nanosheets. - Highlights: • Nonvolatile rewritable memory effect in P3HT–graphene composite is demonstrated. • The memory device was fabricated through a simple solution processing technique. • The device shows a remarkable electrical bistable behavior and excellent stability. • Memory mechanism is deduced from the modeling of the currents in both states

  7. Electrical studies of Ge4Sb1Te5 devices for memory applications

    Science.gov (United States)

    Sangeetha, B. G.; Shylashree, N.

    2018-05-01

    In this paper, the Ge4Sb1Te5 thin film device preparation and electrical studies for memory devices were carried out. The device was deposited using vapor-evaporation technique. RESET to SET state switching was shown using current-voltage characterization. The current-voltage characterization shows the switching between SET to RESET state and it was found that it requires a low energy for transition. Switching between amorphous to crystalline nature was studied using resistance-voltage characteristics. The endurance showed the effective use of this composition for memory device.

  8. Inadvertently programmed bits in Samsung 128 Mbit flash devices: a flaky investigation

    Science.gov (United States)

    Swift, G.

    2002-01-01

    JPL's X2000 avionics design pioneers new territory by specifying a non-volatile memory (NVM) board based on flash memories. The Samsung 128Mb device chosen was found to demonstrate bit errors (mostly program disturbs) and block-erase failures that increase with cycling. Low temperature, certain pseudo- random patterns, and, probably, higher bias increase the observable bit errors. An experiment was conducted to determine the wearout dependence of the bit errors to 100k cycles at cold temperature using flight-lot devices (some pre-irradiated). The results show an exponential growth rate, a wide part-to-part variation, and some annealing behavior.

  9. Atomic-layer deposited IrO2 nanodots for charge-trap flash-memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Cha, Young-Kwan; Seo, Bum-Seok; Park, Sangjin; Park, Ju-Hee; Shin, Sangmin; Seol, Kwang Soo; Park, Jong-Bong; Jung, Young-Soo; Park, Youngsoo; Park, Yoondong; Yoo, In-Kyeong; Choi, Suk-Ho

    2007-01-01

    Charge-trap flash- (CTF) memory structures have been fabricated by employing IrO 2 nanodots (NDs) grown by atomic-layer deposition. A band of isolated IrO 2 NDs of about 3 nm lying almost parallel to Si/SiO 2 interface is confirmed by transmission electron microscopy and x-ray photoelectron spectroscopy. The memory device with IrO 2 NDs shows much larger capacitance-voltage (C-V) hysteresis and memory window compared with the control sample without IrO 2 NDs. After annealing at 800 deg. C for 20 min, the ND device shows almost no change in the width of C-V hysteresis and the ND distribution. These results indicate that the IrO 2 NDs embedded in SiO 2 can be utilized as thermally stable, discrete charge traps, promising for metal oxide-ND-based CTF memory devices

  10. Brain-like associative learning using a nanoscale non-volatile phase change synaptic device array

    Directory of Open Access Journals (Sweden)

    Sukru Burc Eryilmaz

    2014-07-01

    Full Text Available Recent advances in neuroscience together with nanoscale electronic device technology have resulted in huge interests in realizing brain-like computing hardwares using emerging nanoscale memory devices as synaptic elements. Although there has been experimental work that demonstrated the operation of nanoscale synaptic element at the single device level, network level studies have been limited to simulations. In this work, we demonstrate, using experiments, array level associative learning using phase change synaptic devices connected in a grid like configuration similar to the organization of the biological brain. Implementing Hebbian learning with phase change memory cells, the synaptic grid was able to store presented patterns and recall missing patterns in an associative brain-like fashion. We found that the system is robust to device variations, and large variations in cell resistance states can be accommodated by increasing the number of training epochs. We illustrated the tradeoff between variation tolerance of the network and the overall energy consumption, and found that energy consumption is decreased significantly for lower variation tolerance.

  11. Silicon photonic integrated circuits with electrically programmable non-volatile memory functions.

    Science.gov (United States)

    Song, J-F; Lim, A E-J; Luo, X-S; Fang, Q; Li, C; Jia, L X; Tu, X-G; Huang, Y; Zhou, H-F; Liow, T-Y; Lo, G-Q

    2016-09-19

    Conventional silicon photonic integrated circuits do not normally possess memory functions, which require on-chip power in order to maintain circuit states in tuned or field-configured switching routes. In this context, we present an electrically programmable add/drop microring resonator with a wavelength shift of 426 pm between the ON/OFF states. Electrical pulses are used to control the choice of the state. Our experimental results show a wavelength shift of 2.8 pm/ms and a light intensity variation of ~0.12 dB/ms for a fixed wavelength in the OFF state. Theoretically, our device can accommodate up to 65 states of multi-level memory functions. Such memory functions can be integrated into wavelength division mutiplexing (WDM) filters and applied to optical routers and computing architectures fulfilling large data downloading demands.

  12. In search of the next memory inside the circuitry from the oldest to the emerging non-volatile memories

    CERN Document Server

    Campardo, Giovanni

    2017-01-01

    This book provides students and practicing chip designers with an easy-to-follow yet thorough, introductory treatment of the most promising emerging memories under development in the industry. Focusing on the chip designer rather than the end user, this book offers expanded, up-to-date coverage of emerging memories circuit design. After an introduction on the old solid-state memories and the fundamental limitations soon to be encountered, the working principle and main technology issues of each of the considered technologies (PCRAM, MRAM, FeRAM, ReRAM) are reviewed and a range of topics related to design is explored: the array organization, sensing and writing circuitry, programming algorithms and error correction techniques are reviewed comparing the approach followed and the constraints for each of the technologies considered. Finally the issue of radiation effects on memory devices has been briefly treated. Additionally some considerations are entertained about how emerging memories can find a place in the...

  13. Observation of long term potentiation in papain-based memory devices

    KAUST Repository

    Bag, A.; Hota, Mrinal Kanti; Mallik, Sandipan B.; Maì ti, Chinmay Kumar

    2014-01-01

    Biological synaptic behavior in terms of long term potentiation has been observed in papain-based (plant protein) memory devices (memristors) for the first time. Improvement in long term potentiation depends on pulse amplitude and width (duration). Continuous/repetitive dc voltage sweep leads to an increase in memristor conductivity leading to a long term memory in the 'learning' processes.

  14. Observation of long term potentiation in papain-based memory devices

    KAUST Repository

    Bag, A.

    2014-06-01

    Biological synaptic behavior in terms of long term potentiation has been observed in papain-based (plant protein) memory devices (memristors) for the first time. Improvement in long term potentiation depends on pulse amplitude and width (duration). Continuous/repetitive dc voltage sweep leads to an increase in memristor conductivity leading to a long term memory in the \\'learning\\' processes.

  15. Application of graphene oxide-poly (vinyl alcohol) polymer nanocomposite for memory devices

    Science.gov (United States)

    Kaushal, Jyoti; Kaur, Ravneet; Sharma, Jadab; Tripathi, S. K.

    2018-05-01

    Significant attention has been gained by polymer nanocomposites because of their possible demands in future electronic memory devices. In the present work, device based on Graphene Oxide (GO) and polyvinyl alcohol (PVA) has been made and examined for the memory device application. The prepared Graphene oxide (GO) and GO-PVA nanocomposite (NC) has been characterized by X-ray Diffraction (XRD). GO nanosheets show the diffraction peak at 2θ = 11.60° and the interlayer spacing of 0.761 nm. The XRD of GO-PVA NC shows the diffraction peak at 2θ =18.56°. The fabricated device shows bipolar switching behavior having ON/OFF current ratio ˜102. The Write-Read-Erase-Read (WRER) cycles test shows that the Al/GO-PVA/Ag device has good stability and repeatability.

  16. CMOS-compatible spintronic devices: a review

    Science.gov (United States)

    Makarov, Alexander; Windbacher, Thomas; Sverdlov, Viktor; Selberherr, Siegfried

    2016-11-01

    For many decades CMOS devices have been successfully scaled down to achieve higher speed and increased performance of integrated circuits at lower cost. Today’s charge-based CMOS electronics encounters two major challenges: power dissipation and variability. Spintronics is a rapidly evolving research and development field, which offers a potential solution to these issues by introducing novel ‘more than Moore’ devices. Spin-based magnetoresistive random-access memory (MRAM) is already recognized as one of the most promising candidates for future universal memory. Magnetic tunnel junctions, the main elements of MRAM cells, can also be used to build logic-in-memory circuits with non-volatile storage elements on top of CMOS logic circuits, as well as versatile compact on-chip oscillators with low power consumption. We give an overview of CMOS-compatible spintronics applications. First, we present a brief introduction to the physical background considering such effects as magnetoresistance, spin-transfer torque (STT), spin Hall effect, and magnetoelectric effects. We continue with a comprehensive review of the state-of-the-art spintronic devices for memory applications (STT-MRAM, domain wall-motion MRAM, and spin-orbit torque MRAM), oscillators (spin torque oscillators and spin Hall nano-oscillators), logic (logic-in-memory, all-spin logic, and buffered magnetic logic gate grid), sensors, and random number generators. Devices with different types of resistivity switching are analyzed and compared, with their advantages highlighted and challenges revealed. CMOS-compatible spintronic devices are demonstrated beginning with predictive simulations, proceeding to their experimental confirmation and realization, and finalized by the current status of application in modern integrated systems and circuits. We conclude the review with an outlook, where we share our vision on the future applications of the prospective devices in the area.

  17. Novel nano materials for high performance logic and memory devices

    Science.gov (United States)

    Das, Saptarshi

    After decades of relentless progress, the silicon CMOS industry is approaching a stall in device performance for both logic and memory devices due to fundamental scaling limitations. In order to reinforce the accelerating pace, novel materials with unique properties are being proposed on an urgent basis. This list includes one dimensional nanotubes, quasi one dimensional nanowires, two dimensional atomistically thin layered materials like graphene, hexagonal boron nitride and the more recently the rich family of transition metal di-chalcogenides comprising of MoS2, WSe2, WS2 and many more for logic applications and organic and inorganic ferroelectrics, phase change materials and magnetic materials for memory applications. Only time will tell who will win, but exploring these novel materials allow us to revisit the fundamentals and strengthen our understanding which will ultimately be beneficial for high performance device design. While there has been growing interest in two-dimensional (2D) crystals other than graphene, evaluating their potential usefulness for electronic applications is still in its infancies due to the lack of a complete picture of their performance potential. The fact that the 2-D layered semiconducting di-chalcogenides need to be connected to the "outside" world in order to capitalize on their ultimate potential immediately emphasizes the importance of a thorough understanding of the contacts. This thesis demonstrate that through a proper understanding and design of source/drain contacts and the right choice of number of MoS2 layers the excellent intrinsic properties of this 2D material can be harvested. A comprehensive experimental study on the dependence of carrier mobility on the layer thickness of back gated multilayer MoS 2 field effect transistors is also provided. A resistor network model that comprises of Thomas-Fermi charge screening and interlayer coupling is used to explain the non-monotonic trend in the extracted field effect

  18. Germanium nanoparticles grown at different deposition times for memory device applications

    International Nuclear Information System (INIS)

    Mederos, M.; Mestanza, S.N.M.; Lang, R.; Doi, I.; Diniz, J.A.

    2016-01-01

    In the present work, circular Metal-Oxide-Semiconductor capacitors with 200 μm of diameter and germanium (Ge) nanoparticles (NPs) embedded in the gate oxide are studied for memory applications. Optimal process parameters are investigated for Ge NPs growing by low pressure chemical vapor deposition at different deposition times. Photoluminescence measurements showed room-temperature size-dependent green-red region bands attributed to quantum confinement effects present in the NPs. High-frequency capacitance versus voltage measurements demonstrated the memory effects on the MOS structures due to the presence of Ge NPs in the gate oxide acting as discrete floating gates. Current versus voltage measurements confirmed the Fowler-Nordheim tunneling as the programming mechanism of the devices. - Highlights: • Ge nanoparticles with high density and uniforms sizes were obtained by LPCVD. • Room-temperature size-dependent bands of photoluminescence were observed. • MOS capacitors with Ge nanoparticles embedded in the oxide were fabricated. • Ge nanoparticles are the main responsible for the memory properties in the devices. • Fowler-Nordheim tunneling is the conduction mechanism observed on the devices.

  19. Germanium nanoparticles grown at different deposition times for memory device applications

    Energy Technology Data Exchange (ETDEWEB)

    Mederos, M., E-mail: melissa.mederos@gmail.com [Center for Semiconductor Components and Nanotechnology (CCSNano), University of Campinas (Unicamp), Rua João Pandia Calógeras 90, Campinas, CEP: 13083-870, São Paulo (Brazil); Mestanza, S.N.M. [Federal University of ABC (UFABC), Rua Santa Adélia 166, Bangu, Santo André, CEP: 09210-170, São Paulo (Brazil); Lang, R. [Institute of Science and Technology, Federal University of São Paulo (UNIFESP), Rua Talim, 330, São José dos Campos, CEP: 12231-280, São Paulo (Brazil); Doi, I.; Diniz, J.A. [Center for Semiconductor Components and Nanotechnology (CCSNano), University of Campinas (Unicamp), Rua João Pandia Calógeras 90, Campinas, CEP: 13083-870, São Paulo (Brazil); School of Electrical and Computer Engineering, University of Campinas (Unicamp), Av. Albert Einstein 400, Campinas, CEP: 13083-852, São Paulo (Brazil)

    2016-07-29

    In the present work, circular Metal-Oxide-Semiconductor capacitors with 200 μm of diameter and germanium (Ge) nanoparticles (NPs) embedded in the gate oxide are studied for memory applications. Optimal process parameters are investigated for Ge NPs growing by low pressure chemical vapor deposition at different deposition times. Photoluminescence measurements showed room-temperature size-dependent green-red region bands attributed to quantum confinement effects present in the NPs. High-frequency capacitance versus voltage measurements demonstrated the memory effects on the MOS structures due to the presence of Ge NPs in the gate oxide acting as discrete floating gates. Current versus voltage measurements confirmed the Fowler-Nordheim tunneling as the programming mechanism of the devices. - Highlights: • Ge nanoparticles with high density and uniforms sizes were obtained by LPCVD. • Room-temperature size-dependent bands of photoluminescence were observed. • MOS capacitors with Ge nanoparticles embedded in the oxide were fabricated. • Ge nanoparticles are the main responsible for the memory properties in the devices. • Fowler-Nordheim tunneling is the conduction mechanism observed on the devices.

  20. Magnetization Dynamics in Two Novel Current-Driven Spintronic Memory Cell Structures

    KAUST Repository

    Velazquez-Rizo, Martin

    2017-07-01

    In this work, two new spintronic memory cell structures are proposed. The first cell uses the diffusion of polarized spins into ferromagnets with perpendicular anisotropy to tilt their magnetization followed by their dipolar coupling to a fixed magnet (Bhowmik et al., 2014). The possibility of setting the magnetization to both stable magnetization states in a controlled manner using a similar concept remains unknown, but the proposed structure poses to be a solution to this difficulty. The second cell proposed takes advantage of the multiple stable magnetic states that exist in ferromagnets with configurational anisotropy and also uses spin torques to manipulate its magnetization. It utilizes a square-shaped ferromagnet whose stable magnetization has preferred directions along the diagonals of the square, giving four stable magnetic states allowing to use the structure as a multi-bit memory cell. Both devices use spin currents generated in heavy metals by the Spin Hall effect present in these materials. Among the advantages of the structures proposed are their inherent non-volatility and the fact that there is no need for applying external magnetic fields during their operation, which drastically improves the energy efficiency of the devices. Computational simulations using the Object Oriented Micromagnetic Framework (OOMMF) software package were performed to study the dynamics of the magnetization process in both structures and predict their behavior. Besides, we fabricated a 4-terminal memory cell with configurational anisotropy similar to the device proposed, and found four stable resistive states on the structure, proving the feasibility of this technology for implementation of high-density, non-volatile memory cells.

  1. Resistive switching effect of N-doped MoS2-PVP nanocomposites films for nonvolatile memory devices

    Science.gov (United States)

    Wu, Zijin; Wang, Tongtong; Sun, Changqi; Liu, Peitao; Xia, Baorui; Zhang, Jingyan; Liu, Yonggang; Gao, Daqiang

    2017-12-01

    Resistive memory technology is very promising in the field of semiconductor memory devices. According to Liu et al, MoS2-PVP nanocomposite can be used as an active layer material for resistive memory devices due to its bipolar resistive switching behavior. Recent studies have also indicated that the doping of N element can reduce the band gap of MoS2 nanosheets, which is conducive to improving the conductivity of the material. Therefore, in this paper, we prepared N-doped MoS2 nanosheets and then fabricated N-doped MoS2-PVP nanocomposite films by spin coating. Finally, the resistive memory [C. Tan et al., Chem. Soc. Rev. 44, 2615 (2015)], device with ITO/N-doped MoS2-PVP/Pt structure was fabricated. Study on the I-V characteristics shows that the device has excellent resistance switching effect. It is worth mentioning that our device possesses a threshold voltage of 0.75 V, which is much better than 3.5 V reported previously for the undoped counterparts. The above research shows that N-doped MoS2-PVP nanocomposite films can be used as the active layer of resistive switching memory devices, and will make the devices have better performance.

  2. Flexible All-Inorganic Perovskite CsPbBr3 Nonvolatile Memory Device.

    Science.gov (United States)

    Liu, Dongjue; Lin, Qiqi; Zang, Zhigang; Wang, Ming; Wangyang, Peihua; Tang, Xiaosheng; Zhou, Miao; Hu, Wei

    2017-02-22

    All-inorganic perovskite CsPbX 3 (X = Cl, Br, or I) is widely used in a variety of photoelectric devices such as solar cells, light-emitting diodes, lasers, and photodetectors. However, studies to understand the flexible CsPbX 3 electrical application are relatively scarce, mainly due to the limitations of the low-temperature fabricating process. In this study, all-inorganic perovskite CsPbBr 3 films were successfully fabricated at 75 °C through a two-step method. The highly crystallized films were first employed as a resistive switching layer in the Al/CsPbBr 3 /PEDOT:PSS/ITO/PET structure for flexible nonvolatile memory application. The resistive switching operations and endurance performance demonstrated the as-prepared flexible resistive random access memory devices possess reproducible and reliable memory characteristics. Electrical reliability and mechanical stability of the nonvolatile device were further tested by the robust current-voltage curves under different bending angles and consecutive flexing cycles. Moreover, a model of the formation and rupture of filaments through the CsPbBr 3 layer was proposed to explain the resistive switching effect. It is believed that this study will offer a new setting to understand and design all-inorganic perovskite materials for future stable flexible electronic devices.

  3. Anomalous Threshold Voltage Variability of Nitride Based Charge Storage Nonvolatile Memory Devices

    Directory of Open Access Journals (Sweden)

    Meng Chuan Lee

    2013-01-01

    Full Text Available Conventional technology scaling is implemented to meet the insatiable demand of high memory density and low cost per bit of charge storage nonvolatile memory (NVM devices. In this study, effect of technology scaling to anomalous threshold voltage ( variability is investigated thoroughly on postcycled and baked nitride based charge storage NVM devices. After long annealing bake of high temperature, cell’s variability of each subsequent bake increases within stable distribution and found exacerbate by technology scaling. Apparent activation energy of this anomalous variability was derived through Arrhenius plots. Apparent activation energy (Eaa of this anomalous variability is 0.67 eV at sub-40 nm devices which is a reduction of approximately 2 times from 110 nm devices. Technology scaling clearly aggravates this anomalous variability, and this poses reliability challenges to applications that demand strict control, for example, reference cells that govern fundamental program, erase, and verify operations of NVM devices. Based on critical evidence, this anomalous variability is attributed to lateral displacement of trapped charges in nitride storage layer. Reliability implications of this study are elucidated. Moreover, potential mitigation methods are proposed to complement technology scaling to prolong the front-runner role of nitride based charge storage NVM in semiconductor flash memory market.

  4. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Science.gov (United States)

    Zheng, Zeyu; Qiao, Zhi; Takaishi, Tetsuya; Stanley, H Eugene; Li, Baowen

    2014-01-01

    Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  5. Realized volatility and absolute return volatility: a comparison indicating market risk.

    Directory of Open Access Journals (Sweden)

    Zeyu Zheng

    Full Text Available Measuring volatility in financial markets is a primary challenge in the theory and practice of risk management and is essential when developing investment strategies. Although the vast literature on the topic describes many different models, two nonparametric measurements have emerged and received wide use over the past decade: realized volatility and absolute return volatility. The former is strongly favored in the financial sector and the latter by econophysicists. We examine the memory and clustering features of these two methods and find that both enable strong predictions. We compare the two in detail and find that although realized volatility has a better short-term effect that allows predictions of near-future market behavior, absolute return volatility is easier to calculate and, as a risk indicator, has approximately the same sensitivity as realized volatility. Our detailed empirical analysis yields valuable guidelines for both researchers and market participants because it provides a significantly clearer comparison of the strengths and weaknesses of the two methods.

  6. NVL-C: Static Analysis Techniques for Efficient, Correct Programming of Non-Volatile Main Memory Systems

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seyong [ORNL; Vetter, Jeffrey S [ORNL

    2016-01-01

    Computer architecture experts expect that non-volatile memory (NVM) hierarchies will play a more significant role in future systems including mobile, enterprise, and HPC architectures. With this expectation in mind, we present NVL-C: a novel programming system that facilitates the efficient and correct programming of NVM main memory systems. The NVL-C programming abstraction extends C with a small set of intuitive language features that target NVM main memory, and can be combined directly with traditional C memory model features for DRAM. We have designed these new features to enable compiler analyses and run-time checks that can improve performance and guard against a number of subtle programming errors, which, when left uncorrected, can corrupt NVM-stored data. Moreover, to enable recovery of data across application or system failures, these NVL-C features include a flexible directive for specifying NVM transactions. So that our implementation might be extended to other compiler front ends and languages, the majority of our compiler analyses are implemented in an extended version of LLVM's intermediate representation (LLVM IR). We evaluate NVL-C on a number of applications to show its flexibility, performance, and correctness.

  7. Electrical and ferroelectric properties of RF sputtered PZT/SBN on silicon for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    We report the integration of multilayer ferroelectric film deposited by RF magnetron sputtering and explore the electrical characteristics for its application as the gate of ferroelectric field effect transistor for non-volatile memories. PZT (Pb[Zr0.35Ti0.65]O3) and SBN (SrBi2Nb2O9) ferroelectric materials were selected for the stack fabrication due to their large polarization and fatigue free properties respectively. Electrical characterization has been carried out to obtain memory window, leakage current density, PUND and endurance characteristics. Fabricated multilayer ferroelectric film capacitor structure shows large memory window of 17.73 V and leakage current density of the order 10-6 A cm-2 for the voltage sweep of -30 to +30 V. This multilayer gate stack of PZT/SBN shows promising endurance property with no degradation in the remnant polarization for the read/write iteration cycles upto 108.

  8. Effect of CuPc layer insertion on the memory performance of CdS nanocomposite diodes

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, S.K., E-mail: surya@pu.ac.in; Kaur, Ramneek; Jyoti

    2016-09-15

    Highlights: • CdS nanocomposite as an active layer investigated for memory device application. • Effect of copper phthalocyanine layer insertion on the memory performance studied. • Bipolar switching behaviour with high ON/OFF ratio ∼1.4 × 10{sup 4}. • Series resistance and interface states dominate the electrical properties of the device. - Abstract: In the present work, semiconductor diodes with CdS nanocomposite as an active layer have been fabricated and investigated for memory device applications. The effect of copper phthalocyanine (CuPc) layer insertion between the bottom electrode and CdS nanocomposite has been studied. I–V characteristics show electrical hysteresis behaviour vital for memory storage application. The as-fabricated devices exhibit bipolar switching behaviour with OFF to ON state transition at positive bias and vice versa. Device with CuPc layer exhibits I{sub ON}/I{sub OFF} ratio ∼ 1.4 × 10{sup 4}. Possible conduction mechanism has been described on the basis of theoretical current conduction models. The frequency dispersion capacitance, series resistance and conductance of the devices have been studied and discussed. At low frequency, the series resistance and the interface states dominate the electrical properties of the device. The results indicate that the multilayered devices open up the possibility of new generation non-volatile memory devices with low cost, high density and stability.

  9. All-spin logic operations: Memory device and reconfigurable computing

    Science.gov (United States)

    Patra, Moumita; Maiti, Santanu K.

    2018-02-01

    Exploiting spin degree of freedom of electron a new proposal is given to characterize spin-based logical operations using a quantum interferometer that can be utilized as a programmable spin logic device (PSLD). The ON and OFF states of both inputs and outputs are described by spin state only, circumventing spin-to-charge conversion at every stage as often used in conventional devices with the inclusion of extra hardware that can eventually diminish the efficiency. All possible logic functions can be engineered from a single device without redesigning the circuit which certainly offers the opportunities of designing new generation spintronic devices. Moreover, we also discuss the utilization of the present model as a memory device and suitable computing operations with proposed experimental setups.

  10. Numerical analysis of a polysilicon-based resistive memory device

    KAUST Repository

    Berco, Dan; Chand, Umesh

    2018-01-01

    This study investigates a conductive bridge resistive memory device based on a Cu top electrode, 10-nm polysilicon resistive switching layer and a TiN bottom electrode, by numerical analysis for $$10^{3}$$103 programming and erase simulation cycles

  11. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  12. Spatial memory in nonhuman primates implanted with the subdural pharmacotherapy device.

    Science.gov (United States)

    Ludvig, Nandor; Tang, Hai M; Baptiste, Shirn L; Stefanov, Dimitre G; Kral, John G

    2015-06-01

    This study investigated the possible influence of the Subdural Pharmacotherapy Device (SPD) on spatial memory in 3 adult, male bonnet macaques (Macaca radiata). The device was implanted in and above the subdural/subarachnoid space and cranium overlaying the right parietal/frontal cortex: a circuitry involved in spatial memory processing. A large test chamber, equipped with four baited and four non-baited food-ports at different locations, was used: reaches into empty food ports were counted as spatial memory errors. In this study of within-subject design, before SPD implantation (control) the animals made mean 373.3 ± 114.9 (mean ± SEM) errors in the first spatial memory test session. This value dropped to 47.7 ± 18.4 by the 8th session. After SPD implantation and alternating cycles of transmeningeal saline delivery and local cerebrospinal fluid (CSF) drainage in the implanted cortex the spatial memory error count, with the same port locations, was 33.0 ± 12.2 during the first spatial memory test session, further decreasing to 5.7 ± 3.5 by the 8th post-implantation session (Pmemory performance, which in fact included at least one completely error-free session per animal over time. The study showed that complication-free implantation and use of the SPD over the parietal and frontal cortices for months leave spatial memory processes intact in nonhuman primates. Copyright © 2015 Elsevier B.V. All rights reserved.

  13. Forecasting volatility of crude oil markets

    International Nuclear Information System (INIS)

    Kang, Sang Hoon; Kang, Sang-Mok; Yoon, Seong-Min

    2009-01-01

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  14. Forecasting volatility of crude oil markets

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Sang Hoon [Department of Business Administration, Gyeongsang National University, Jinju, 660-701 (Korea); Kang, Sang-Mok; Yoon, Seong-Min [Department of Economics, Pusan National University, Busan, 609-735 (Korea)

    2009-01-15

    This article investigates the efficacy of a volatility model for three crude oil markets - Brent, Dubai, and West Texas Intermediate (WTI) - with regard to its ability to forecast and identify volatility stylized facts, in particular volatility persistence or long memory. In this context, we assess persistence in the volatility of the three crude oil prices using conditional volatility models. The CGARCH and FIGARCH models are better equipped to capture persistence than are the GARCH and IGARCH models. The CGARCH and FIGARCH models also provide superior performance in out-of-sample volatility forecasts. We conclude that the CGARCH and FIGARCH models are useful for modeling and forecasting persistence in the volatility of crude oil prices. (author)

  15. Negative effect of Au nanoparticles on an IGZO TFT-based nonvolatile memory device

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Myunghoon; Yoo, Gwangwe; Lee, Jongtaek; Jeong, Seokwon; Roh, Yonghan; Park, Jinhong; Kwon, Namyong [Sungkyunkwan University, Suwon (Korea, Republic of); Jung, Wooshik [Stanford University, Stanford, CA (United States)

    2014-02-15

    In this letter, the electrical characteristics of nonvolatile memory devices based on back gate type indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) are investigated in terms of the Au nanoparticles (NPs) employed in the floating gate-stack of the device. The size of the Au NPs is controlled using a by 500 .deg. C annealing process after the Au thin-film deposition. The size and the roughness of the Au NPs were observed by using scanning electron microscopy, atomic force microscopy, and transmission electron microscopy. In order to analyze the electrical properties according to Au NP size, we measured the current-voltage (I{sub D}-V{sub G}) characteristics of the nonvolatile memory devices fabricated without Au NPs and with Au NPs of various sizes. The size of the Au NP increased, so did the surface roughness of the gate. This resulted in increased carrier scattering, which subsequently degraded the on-current of the memory device. In addition, inter-diffusion between the Au and the α-IGZO through the non-uniform Al{sub 2}O{sub 3} tunneling layer seemed to further degrade the device performance.

  16. Interfacial behavior of resistive switching in ITO–PVK–Al WORM memory devices

    International Nuclear Information System (INIS)

    Whitcher, T J; Woon, K L; Wong, W S; Chanlek, N; Nakajima, H; Saisopa, T; Songsiriritthigul, P

    2016-01-01

    Understanding the mechanism of resistive switching in a memory device is fundamental in order to improve device performance. The mechanism of current switching in a basic organic write-once read-many (WORM) memory device is investigated by determining the energy level alignments of indium tin oxide (ITO), poly(9-vinylcarbazole) (PVK) and aluminum (Al) using x-ray and ultraviolet photoelectron spectroscopy, current–voltage characterization and Auger depth profiling. The current switching mechanism was determined to be controlled by the interface between the ITO and the PVK. The electric field applied across the device causes the ITO from the uneven surface of the anode to form metallic filaments through the PVK, causing a shorting effect within the device leading to increased conduction. This was found to be independent of the PVK thickness, although the switch-on voltage was non-linearly dependent on the thickness. The formation of these filaments also caused the destruction of the interfacial dipole at the PVK–Al interface. (paper)

  17. Interfacial behavior of resistive switching in ITO-PVK-Al WORM memory devices

    Science.gov (United States)

    Whitcher, T. J.; Woon, K. L.; Wong, W. S.; Chanlek, N.; Nakajima, H.; Saisopa, T.; Songsiriritthigul, P.

    2016-02-01

    Understanding the mechanism of resistive switching in a memory device is fundamental in order to improve device performance. The mechanism of current switching in a basic organic write-once read-many (WORM) memory device is investigated by determining the energy level alignments of indium tin oxide (ITO), poly(9-vinylcarbazole) (PVK) and aluminum (Al) using x-ray and ultraviolet photoelectron spectroscopy, current-voltage characterization and Auger depth profiling. The current switching mechanism was determined to be controlled by the interface between the ITO and the PVK. The electric field applied across the device causes the ITO from the uneven surface of the anode to form metallic filaments through the PVK, causing a shorting effect within the device leading to increased conduction. This was found to be independent of the PVK thickness, although the switch-on voltage was non-linearly dependent on the thickness. The formation of these filaments also caused the destruction of the interfacial dipole at the PVK-Al interface.

  18. Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions

    KAUST Repository

    Huang, Yi-Jen

    2016-04-07

    The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we show that the resistive switching material structure, (amorphous TiOx)/(Ag nanoparticles)/(polycrystalline TiOx), fabricated on the textured-FTO substrate with ITO as the top electrode exhibits both the memory switching and threshold switching functions. When the device is used for resistive switching, it is forming-free for resistive memory applications with low operation voltage (<±1 V) and self-compliance to current up to 50 μA. When it is used for threshold switching, the low threshold current is beneficial for improving the device selectivity. The variation of oxygen distribution measured by energy dispersive X-ray spectroscopy and scanning transmission electron microscopy indicates the formation or rupture of conducting filaments in the device at different resistance states. It is therefore suggested that the push and pull actions of oxygen ions in the amorphous TiOx and polycrystalline TiOx films during the voltage sweep account for the memory switching and threshold switching properties in the device.

  19. Transmission electron microscopy assessment of conductive-filament formation in Ni-HfO2-Si resistive-switching operational devices

    Science.gov (United States)

    Martín, Gemma; González, Mireia B.; Campabadal, Francesca; Peiró, Francesca; Cornet, Albert; Estradé, Sònia

    2018-01-01

    Resistive random-access memory (ReRAM) devices are currently the object of extensive research to replace flash non-volatile memory. However, elucidation of the conductive-filament formation mechanisms in ReRAM devices at nanoscale is mandatory. In this study, the different states created under real operation conditions of HfO2-based ReRAM devices are characterized through transmission electron microscopy and electron energy-loss spectroscopy. The physical mechanism behind the conductive-filament formation in Ni/HfO2/Si ReRAM devices based on the diffusion of Ni from the electrode to the Si substrate and of Si from the substrate to the electrode through the HfO2 layer is demonstrated.

  20. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    International Nuclear Information System (INIS)

    Yeom, Seung-Won; Kim, Tan-Young; Ha, Hyeon Jun; Ju, Byeong-Kwon; Shin, Sang-Chul; Shim, Jae Won; Lee, Yun-Hi

    2016-01-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al 2 O 3 -based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400–800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al 2 O 3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole–Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al 2 O 3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices. (letter)

  1. Transparent resistive switching memory using aluminum oxide on a flexible substrate

    Science.gov (United States)

    Yeom, Seung-Won; Shin, Sang-Chul; Kim, Tan-Young; Ha, Hyeon Jun; Lee, Yun-Hi; Shim, Jae Won; Ju, Byeong-Kwon

    2016-02-01

    Resistive switching memory (ReRAM) has attracted much attention in recent times owing to its fast switching, simple structure, and non-volatility. Flexible and transparent electronic devices have also attracted considerable attention. We therefore fabricated an Al2O3-based ReRAM with transparent indium-zinc-oxide (IZO) electrodes on a flexible substrate. The device transmittance was found to be higher than 80% in the visible region (400-800 nm). Bended states (radius = 10 mm) of the device also did not affect the memory performance because of the flexibility of the two transparent IZO electrodes and the thin Al2O3 layer. The conduction mechanism of the resistive switching of our device was explained by ohmic conduction and a Poole-Frenkel emission model. The conduction mechanism was proved by oxygen vacancies in the Al2O3 layer, as analyzed by x-ray photoelectron spectroscopy analysis. These results encourage the application of ReRAM in flexible and transparent electronic devices.

  2. Modeling of strain effects on the device behaviors of ferroelectric memory field-effect transistors

    International Nuclear Information System (INIS)

    Yang, Feng; Hu, Guangda; Wu, Weibing; Yang, Changhong; Wu, Haitao; Tang, Minghua

    2013-01-01

    The influence of strains on the channel current–gate voltage behaviors and memory windows of ferroelectric memory field-effect transistors (FeMFETs) were studied using an improved model based on the Landau–Devonshire theory. ‘Channel potential–gate voltage’ ferroelectric polarization and silicon surface potential diagrams were constructed for strained single-domain BaTiO 3 FeMFETs. The compressive strains can increase (or decrease) the amplitude of transistor currents and enlarge memory windows. However, tensile strains only decrease the maximum value of transistor currents and compress memory windows. Mismatch strains were found to have a significant influence on the electrical behaviors of the devices, therefore, they must be considered in FeMFET device designing. (fast track communication)

  3. Camera memory study for large space telescope. [charge coupled devices

    Science.gov (United States)

    Hoffman, C. P.; Brewer, J. E.; Brager, E. A.; Farnsworth, D. L.

    1975-01-01

    Specifications were developed for a memory system to be used as the storage media for camera detectors on the large space telescope (LST) satellite. Detectors with limited internal storage time such as intensities charge coupled devices and silicon intensified targets are implied. The general characteristics are reported of different approaches to the memory system with comparisons made within the guidelines set forth for the LST application. Priority ordering of comparisons is on the basis of cost, reliability, power, and physical characteristics. Specific rationales are provided for the rejection of unsuitable memory technologies. A recommended technology was selected and used to establish specifications for a breadboard memory. Procurement scheduling is provided for delivery of system breadboards in 1976, prototypes in 1978, and space qualified units in 1980.

  4. Working memory for sequences of temporal durations reveals a volatile single-item store

    Directory of Open Access Journals (Sweden)

    Sanjay G Manohar

    2016-10-01

    remembered better when more items were expected, but worse when irrelevant features were present. This suggests that the privileged state of one item in memory is particularly volatile and susceptible to interference.

  5. Density-controllable nonvolatile memory devices having metal nanocrystals through chemical synthesis and assembled by spin-coating technique

    International Nuclear Information System (INIS)

    Wang Guangli; Chen Yubin; Shi Yi; Pu Lin; Pan Lijia; Zhang Rong; Zheng Youdou

    2010-01-01

    A novel two-step method is employed, for the first time, to fabricate nonvolatile memory devices that have metal nanocrystals. First, size-averaged Au nanocrystals are synthesized chemically; second, they are assembled into memory devices by a spin-coating technique at room temperature. This attractive approach makes it possible to tailor the diameter and control the density of nanocrystals individually. In addition, processes at room temperature prevent Au diffusion, which is a main concern for the application of metal nanocrystal-based memory. The experimental results, both the morphology characterization and the electrical measurements, reveal that there is an optimum density of nanocrystal monolayer to balance between long data retention and a large hysteresis memory window. At the same time, density-controllable devices could also feed the preferential emphasis on either memory window or retention time. All these facts confirm the advantages and novelty of our two-step method. (semiconductor devices)

  6. Fabrication of poly(methyl methacrylate)-MoS{sub 2}/graphene heterostructure for memory device application

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, Sachin M.; Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Center for Fostering Young and Innovative Researchers, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2014-12-07

    Combination of two dimensional graphene and semi-conducting molybdenum disulfide (MoS{sub 2}) is of great interest for various electronic device applications. Here, we demonstrate fabrication of a hybridized structure with the chemical vapor deposited graphene and MoS{sub 2} crystals to configure a memory device. Elongated hexagonal and rhombus shaped MoS{sub 2} crystals are synthesized by sulfurization of thermally evaporated molybdenum oxide (MoO{sub 3}) thin film. Scanning transmission electron microscope studies reveal atomic level structure of the synthesized high quality MoS{sub 2} crystals. In the prospect of a memory device fabrication, poly(methyl methacrylate) (PMMA) is used as an insulating dielectric material as well as a supporting layer to transfer the MoS{sub 2} crystals. In the fabricated device, PMMA-MoS{sub 2} and graphene layers act as the functional and electrode materials, respectively. Distinctive bistable electrical switching and nonvolatile rewritable memory effect is observed in the fabricated PMMA-MoS{sub 2}/graphene heterostructure. The developed material system and demonstrated memory device fabrication can be significant for next generation data storage applications.

  7. Long memory of abnormal investor attention and the cross-correlations between abnormal investor attention and trading volume, volatility respectively

    Science.gov (United States)

    Fan, Xiaoqian; Yuan, Ying; Zhuang, Xintian; Jin, Xiu

    2017-03-01

    Taking Baidu Index as a proxy for abnormal investor attention (AIA), the long memory property in the AIA of Shanghai Stock Exchange (SSE) 50 Index component stocks was empirically investigated using detrended fluctuation analysis (DFA) method. The results show that abnormal investor attention is power-law correlated with Hurst exponents between 0.64 and 0.98. Furthermore, the cross-correlations between abnormal investor attention and trading volume, volatility respectively are studied using detrended cross-correlation analysis (DCCA) and the DCCA cross-correlation coefficient (ρDCCA). The results suggest that there are positive correlations between AIA and trading volume, volatility respectively. In addition, the correlations for trading volume are in general higher than the ones for volatility. By carrying on rescaled range analysis (R/S) and rolling windows analysis, we find that the results mentioned above are effective and significant.

  8. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M

    2004-02-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications.

  9. Nanocrystals manufacturing by ultra-low-energy ion-beam-synthesis for non-volatile memory applications

    International Nuclear Information System (INIS)

    Normand, P.; Kapetanakis, E.; Dimitrakis, P.; Skarlatos, D.; Beltsios, K.; Tsoukalas, D.; Bonafos, C.; Ben Assayag, G.; Cherkashin, N.; Claverie, A.; Berg, J.A. van den; Soncini, V.; Agarwal, A.; Ameen, M.; Perego, M.; Fanciulli, M.

    2004-01-01

    An overview of recent developments regarding the fabrication and structure of thin silicon dioxide films with embedded nanocrystals through ultra-low-energy ion-beam-synthesis (ULE-IBS) is presented. Advances in fabrication, increased understanding of structure formation processes and ways to control them allow for the fabrication of reproducible and attractive silicon-nanocrystal memory devices for a wide-range of memory applications as herein demonstrated in the case of low-voltage EEPROM-like applications

  10. Different Steric-Twist-Induced Ternary Memory Characteristics in Nonconjugated Copolymers with Pendant Naphthalene and 1,8-Naphthalimide Moieties.

    Science.gov (United States)

    Wang, Ming; Li, Zhuang; Li, Hua; He, Jinghui; Li, Najun; Xu, Qingfeng; Lu, Jianmei

    2017-10-18

    Herein, novel random copolymers PMNN and PMNB were designed and synthesized, and the memory devices Al/PMNN and PMNB/ITO both exhibited ternary memory performance. The switching voltages of the OFF-ON1 and ON1-ON2 transitions for both memory devices are around -2.0 and -3.5 V, respectively, and the ON1/OFF, ON2/ON1 current ratios are both up to 10 3 . The observed tristable electrical conductivity switching could be attributed to field-induced conformational ordering of the naphthalene rings in the side chains, and subsequent charge trapping by 1,8-naphthalimide moieties. More interestingly, by adjusting the connection sites of 1,8-naphthalimide moieties to tune the steric-twist effect, different memory properties were achieved (PMNN showed nonvolatile write once, read many (WORM) memory behavior, whereas PMNB showed volatile static RAM (SRAM) memory behavior). This result will offer a guideline for the design of different high-performance multilevel memory devices by tuning the steric effects of the chemical moieties. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Effect of AlN layer on the bipolar resistive switching behavior in TiN thin film based ReRAM device for non-volatile memory application

    Science.gov (United States)

    Prakash, Ravi; Kaur, Davinder

    2018-05-01

    The effect of an additional AlN layer in the Cu/TiN/AlN/Pt stack configuration deposited using sputtering has been investigated. The Cu/TiN/AlN/Pt device shows a tristate resistive switching. Multilevel switching is facilitated by ionic and metallic filament formation, and the nature of the filaments formed is confirmed by performing a resistance vs. temperature measurement. Ohmic behaviour and trap controlled space charge limited current (SCLC) conduction mechanisms are confirmed as dominant conduction mechanism at low resistance state (LRS) and high resistance state (HRS). High resistance ratio (102) corresponding to HRS and LRS, good write/erase endurance (105) and non-volatile long retention (105s) are also observed. Higher thermal conductivity of the AlN layer is the main reasons for the enhancement of resistive switching performance in Cu/TiN/AlN/Pt cell. The above result suggests the feasibility of Cu/TiN/AlN/Pt devices for multilevel nonvolatile ReRAM application.

  12. Writing to and reading from a nano-scale crossbar memory based on memristors

    International Nuclear Information System (INIS)

    Vontobel, Pascal O; Robinett, Warren; Kuekes, Philip J; Stewart, Duncan R; Straznicky, Joseph; Stanley Williams, R

    2009-01-01

    We present a design study for a nano-scale crossbar memory system that uses memristors with symmetrical but highly nonlinear current-voltage characteristics as memory elements. The memory is non-volatile since the memristors retain their state when un-powered. In order to address the nano-wires that make up this nano-scale crossbar, we use two coded demultiplexers implemented using mixed-scale crossbars (in which CMOS-wires cross nano-wires and in which the crosspoint junctions have one-time configurable memristors). This memory system does not utilize the kind of devices (diodes or transistors) that are normally used to isolate the memory cell being written to and read from in conventional memories. Instead, special techniques are introduced to perform the writing and the reading operation reliably by taking advantage of the nonlinearity of the type of memristors used. After discussing both writing and reading strategies for our memory system in general, we focus on a 64 x 64 memory array and present simulation results that show the feasibility of these writing and reading procedures. Besides simulating the case where all device parameters assume exactly their nominal value, we also simulate the much more realistic case where the device parameters stray around their nominal value: we observe a degradation in margins, but writing and reading is still feasible. These simulation results are based on a device model for memristors derived from measurements of fabricated devices in nano-scale crossbars using Pt and Ti nano-wires and using oxygen-depleted TiO 2 as the switching material.

  13. Long memory volatility of gold price returns: How strong is the evidence from distinct economic cycles?

    Science.gov (United States)

    Bentes, Sonia R.

    2016-02-01

    This paper examines the long memory behavior in the volatility of gold returns using daily data for the period 1985-2009. We divided the whole sample into eight sub-samples in order to analyze the robustness and consistency of our results during different crisis periods. This constitutes our main contribution. We cover four major world crises, namely, (i) the US stock market crash of 1987; (ii) the Asian financial crisis of 1997; (iii) the World Trade Center terrorist attack of 2001 and finally, (iv) the sub-prime crisis of 2007, in order to investigate how the fractional integrated parameter of the FIGARCH(1, d,1) model evolves over time. Our findings are twofold: (i) there is evidence of long memory in the conditional variance over the whole sample period; (ii) when we consider the sub-sample analysis, the results show mixed evidence. Thus, for the 1985-2003 period the long memory parameter is positive and statistically significant in the pre-crisis sub-samples, and there is no evidence of long memory in the crisis sub-sample periods; however the reverse pattern occurs for the 2005-2009 period. This highlights the unique characteristics of the 2007 sub-prime crisis.

  14. A radiation-tolerant, low-power non-volatile memory based on silicon nanocrystal quantum dots

    OpenAIRE

    Bell, L. D.; Boer, E.; Ostraat, M.; Brongersma, M. L.; Flagan, R. C.; Atwater, H. A.; De Blauwe, J.; Green, M. L.

    2001-01-01

    Nanocrystal nonvolatile floating-gate memories are a good candidate for space applications - initial results suggest they are fast, more reliable and consume less power than conventional floating gate memories. In the nanocrystal based NVM device, charge is not stored on a continuous polysilicon layer (so-called floating gate), but instead on a layer of discrete nanocrystals. Charge injection and storage in dense arrays of silicon nanocrystals in SiO_2 is a critical aspect of the performance ...

  15. Ferroelectric Thin Films Basic Properties and Device Physics for Memory Applications

    CERN Document Server

    Okuyama, Masanori

    2005-01-01

    Ferroelectric thin films continue to attract much attention due to their developing, diverse applications in memory devices, FeRAM, infrared sensors, piezoelectric sensors and actuators. This book, aimed at students, researchers and developers, gives detailed information about the basic properties of these materials and the associated device physics. All authors are acknowledged experts in the field.

  16. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  17. Direct observation of conductive filament formation in Alq3 based organic resistive memories

    Energy Technology Data Exchange (ETDEWEB)

    Busby, Y., E-mail: yan.busby@unamur.be; Pireaux, J.-J. [Research Center in the Physics of Matter and Radiation (PMR), Laboratoire Interdisciplinaire de Spectroscopie Electronique (LISE), University of Namur, B-5000 Namur (Belgium); Nau, S.; Sax, S. [NanoTecCenter Weiz Forschungsgesellschaft mbH, Franz-Pichler Straße 32, A-8160 Weiz (Austria); List-Kratochvil, E. J. W. [NanoTecCenter Weiz Forschungsgesellschaft mbH, Franz-Pichler Straße 32, A-8160 Weiz (Austria); Institute of Solid State Physics, Graz University of Technology, A-8010 Graz (Austria); Novak, J.; Banerjee, R.; Schreiber, F. [Institute of Applied Physics, Eberhard-Karls-Universität Tübingen, D-72076 Tübingen (Germany)

    2015-08-21

    This work explores resistive switching mechanisms in non-volatile organic memory devices based on tris(8-hydroxyquinolie)aluminum (Alq{sub 3}). Advanced characterization tools are applied to investigate metal diffusion in ITO/Alq{sub 3}/Ag memory device stacks leading to conductive filament formation. The morphology of Alq{sub 3}/Ag layers as a function of the metal evaporation conditions is studied by X-ray reflectivity, while depth profile analysis with X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry is applied to characterize operational memory elements displaying reliable bistable current-voltage characteristics. 3D images of the distribution of silver inside the organic layer clearly point towards the existence of conductive filaments and allow for the identification of the initial filament formation and inactivation mechanisms during switching of the device. Initial filament formation is suggested to be driven by field assisted diffusion of silver from abundant structures formed during the top electrode evaporation, whereas thermochemical effects lead to local filament inactivation.

  18. Analog memory and spike-timing-dependent plasticity characteristics of a nanoscale titanium oxide bilayer resistive switching device

    International Nuclear Information System (INIS)

    Seo, Kyungah; Park, Sangsu; Lee, Kwanghee; Lee, Byounghun; Hwang, Hyunsang; Kim, Insung; Jung, Seungjae; Jo, Minseok; Park, Jubong; Shin, Jungho; Biju, Kuyyadi P; Kong, Jaemin

    2011-01-01

    We demonstrated analog memory, synaptic plasticity, and a spike-timing-dependent plasticity (STDP) function with a nanoscale titanium oxide bilayer resistive switching device with a simple fabrication process and good yield uniformity. We confirmed the multilevel conductance and analog memory characteristics as well as the uniformity and separated states for the accuracy of conductance change. Finally, STDP and a biological triple model were analyzed to demonstrate the potential of titanium oxide bilayer resistive switching device as synapses in neuromorphic devices. By developing a simple resistive switching device that can emulate a synaptic function, the unique characteristics of synapses in the brain, e.g. combined memory and computing in one synapse and adaptation to the outside environment, were successfully demonstrated in a solid state device.

  19. Non Volatile Flash Memory Radiation Tests

    Science.gov (United States)

    Irom, Farokh; Nguyen, Duc N.; Allen, Greg

    2012-01-01

    Commercial flash memory industry has experienced a fast growth in the recent years, because of their wide spread usage in cell phones, mp3 players and digital cameras. On the other hand, there has been increased interest in the use of high density commercial nonvolatile flash memories in space because of ever increasing data requirements and strict power requirements. Because of flash memories complex structure; they cannot be treated as just simple memories in regards to testing and analysis. It becomes quite challenging to determine how they will respond in radiation environments.

  20. Cell characteristics of FePt nano-dot memories with a high-k Al2O3 blocking oxide

    International Nuclear Information System (INIS)

    Lee, Gae Hun; Lee, Jung Min; Yang, Hyung Jun; Song, Yun Heub; Bea, Ji Cheol; Tanaka, Testsu

    2012-01-01

    The cell characteristics of an alloy FePt nano-dot (ND) charge trapping memory with a high-k dielectric as a blocking oxide was investigated. Adoption of a high-k Al 2 O 3 material as a blocking oxide for the metal nano-dot memory provided a superior scaling of the operation voltage compared to silicon oxide under a similar gate leakage level. For the 40-nm-thick high-k (Al 2 O 3 ) blocking oxide, we confirmed an operation voltage reduction of ∼7 V under the same memory window on for silicon dioxide. Also, this device showed a large memory window of 7.8 V and a low leakage current under 10 -10 A in an area of Φ 0.25 mm. From these results, the use of a dielectric (Al 2 O 3 ) as a blocking oxide for a metal nano-dot device is essential, and a metal nano-dot memory with a high-k dielectric will be one of the candidates for a high-density non-volatile memory device.

  1. Impact of time and space evolution of ion tracks in nonvolatile memory cells approaching nanoscale

    International Nuclear Information System (INIS)

    Cellere, G.; Paccagnella, A.; Murat, M.; Barak, J.; Akkerman, A.; Harboe-Sorensen, R.; Virtanen, A.; Visconti, A.; Bonanomi, M.

    2010-01-01

    Swift heavy ions impacting on matter lose energy through the creation of dense tracks of charges. The study of the space and time evolution of energy exchange allows understanding the single event effects behavior in advanced microelectronic devices. In particular, the shrinking of minimum feature size of most advanced memory devices makes them very interesting test vehicles to study these effects since the device and the track dimensions are comparable; hence, measured effects are directly correlated with the time and space evolution of the energy release. In this work we are studying the time and space evolution of ion tracks by using advanced non volatile memories and Monte Carlo simulations. Experimental results are very well explained by the theoretical calculations.

  2. System of extraction of volatiles from soil using microwave processes

    Science.gov (United States)

    Ethridge, Edwin C. (Inventor); Kaukler, William F. (Inventor)

    2013-01-01

    A device for the extraction and collection of volatiles from soil or planetary regolith. The device utilizes core drilled holes to gain access to underlying volatiles below the surface. Microwave energy beamed into the holes penetrates through the soil or regolith to heat it, and thereby produces vapor by sublimation. The device confines and transports volatiles to a cold trap for collection.

  3. Characterization of gold nanoparticle pentacene memory device with polymer dielectric layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jun; Jung, Sung Mok; Kim, Yo-Han; Kim, Bong-Jin; Ha, Sanghyub; Kim, Yong-Sang; Yoon, Tae-Sik; Lee, Hyun Ho

    2011-01-01

    We report on the electrical behavior of gold nanoparticles (Au NPs) intervened metal-pentacene-insulator-semiconductor structures. The structure adopts polyvinyl alcohol (PVA) and pentacene as gate insulator and semiconductor, respectively. On the PVA (250 nm) film which was spin-coated and UV cross-linked, 3-aminopropyl triethoxysilane was functionalized for self assembling of the Au NPs monolayer. The devices exhibited clockwise hysteresis in their capacitance-voltage characteristics, with a memory window depending on the range of the voltage sweep. A relatively large memory window of about 4.7 V, which was deduced from control devices, was achieved with voltage sweep of (-/+)7 V. Formation of the monolayered Au NPs was confirmed by field effect scanning electron microscopy and atomic force microscopy.

  4. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  5. Realization of transient memory-loss with NiO-based resistive switching device

    Science.gov (United States)

    Hu, S. G.; Liu, Y.; Chen, T. P.; Liu, Z.; Yu, Q.; Deng, L. J.; Yin, Y.; Hosaka, Sumio

    2012-11-01

    A resistive switching device based on a nickel-rich nickel oxide thin film, which exhibits inherent learning and memory-loss abilities, is reported in this work. The conductance of the device gradually increases and finally saturates with the number of voltage pulses (or voltage sweepings), which is analogous to the behavior of the short-term and long-term memory in the human brain. Furthermore, the number of the voltage pulses (or sweeping cycles) required to achieve a given conductance state increases with the interval between two consecutive voltage pulses (or sweeping cycles), which is attributed to the heat diffusion in the material of the conductive filaments formed in the nickel oxide thin film. The phenomenon resembles the behavior of the human brain, i.e., forgetting starts immediately after an impression, a larger interval of the impressions leads to more memory loss, thus the memorization needs more impressions to enhance.

  6. Functionalized Graphitic Carbon Nitride for Metal-free, Flexible and Rewritable Nonvolatile Memory Device via Direct Laser-Writing

    Science.gov (United States)

    Zhao, Fei; Cheng, Huhu; Hu, Yue; Song, Long; Zhang, Zhipan; Jiang, Lan; Qu, Liangti

    2014-07-01

    Graphitic carbon nitride nanosheet (g-C3N4-NS) has layered structure similar with graphene nanosheet and presents unusual physicochemical properties due to the s-triazine fragments. But their electronic and electrochemical applications are limited by the relatively poor conductivity. The current work provides the first example that atomically thick g-C3N4-NSs are the ideal candidate as the active insulator layer with tunable conductivity for achieving the high performance memory devices with electrical bistability. Unlike in conventional memory diodes, the g-C3N4-NSs based devices combined with graphene layer electrodes are flexible, metal-free and low cost. The functionalized g-C3N4-NSs exhibit desirable dispersibility and dielectricity which support the all-solution fabrication and high performance of the memory diodes. Moreover, the flexible memory diodes are conveniently fabricated through the fast laser writing process on graphene oxide/g-C3N4-NSs/graphene oxide thin film. The obtained devices not only have the nonvolatile electrical bistability with great retention and endurance, but also show the rewritable memory effect with a reliable ON/OFF ratio of up to 105, which is the highest among all the metal-free flexible memory diodes reported so far, and even higher than those of metal-containing devices.

  7. Evidence of Filamentary Switching in Oxide-based Memory Devices via Weak Programming and Retention Failure Analysis

    Science.gov (United States)

    Younis, Adnan; Chu, Dewei; Li, Sean

    2015-09-01

    Further progress in high-performance microelectronic devices relies on the development of novel materials and device architectures. However, the components and designs that are currently in use have reached their physical limits. Intensive research efforts, ranging from device fabrication to performance evaluation, are required to surmount these limitations. In this paper, we demonstrate that the superior bipolar resistive switching characteristics of a CeO2:Gd-based memory device can be manipulated by means of UV radiation, serving as a new degree of freedom. Furthermore, the metal oxide-based (CeO2:Gd) memory device was found to possess electrical and neuromorphic multifunctionalities. To investigate the underlying switching mechanism of the device, its plasticity behaviour was studied by imposing weak programming conditions. In addition, a short-term to long-term memory transition analogous to the forgetting process in the human brain, which is regarded as a key biological synaptic function for information processing and data storage, was realized. Based on a careful examination of the device’s retention behaviour at elevated temperatures, the filamentary nature of switching in such devices can be understood from a new perspective.

  8. Volatilities, Traded Volumes, and Price Increments in Derivative Securities

    Science.gov (United States)

    Kim, Kyungsik; Lim, Gyuchang; Kim, Soo Yong; Scalas, Enrico

    2007-03-01

    We apply the detrended fluctuation analysis (DFA) to the statistics of the Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. For our case, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of long-memory property. To analyze and calculate whether the volatility clustering is due to the inherent higher-order correlation not detected by applying directly the DFA to logarithmic increments of the KTB futures, it is of importance to shuffle the original tick data of futures prices and to generate the geometric Brownian random walk with the same mean and standard deviation. It is really shown from comparing the three tick data that the higher-order correlation inherent in logarithmic increments makes the volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes may be supported the hypothesis of price changes.

  9. A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications

    International Nuclear Information System (INIS)

    Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming

    2012-01-01

    We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)

  10. Emerging memory technologies design, architecture, and applications

    CERN Document Server

    2014-01-01

    This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...

  11. Radiation sterilization and volatile matter used for medical devices touching to blood

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Akitada; Sato, Michio; Igarashi, Yoshiaki; Yagami, Takeshi [National Inst. of Health Sciences, Tokyo (Japan); Yoshii, Fumio

    1998-02-01

    In this study, it was conducted by using chemical analysis and cell toxic test if any volatile matter actually on radiation, if any matter could be detected when its generating, if it stayed to a safe volume range, and so forth. Objective materials of this study focus the elements used for medical devices always touching blood having large effect on human bodies. In this fiscal year, because of many cases of sterilization after filling water and necessary gas-liquid equilibrium for quantitative method using a head space when actually using the materials for dialyzer, irradiation was conducted after filling water into the material, comparison of gas volume and evaluation of safety with those of conventional experiments. As a result, by {gamma}-ray irradiation, various matters are formed, and some volatile matters less than some ng level in present volume could be detected. However, from a standpoint of safety data and forming volume of the cell toxic test results, there were no matter anxious to safety. Furthermore, the present dialyzer and others are washed before using chemically, and its safety seems to be fully held. (G.K.)

  12. Radiation sterilization and volatile matter used for medical devices touching to blood

    International Nuclear Information System (INIS)

    Nakamura, Akitada; Sato, Michio; Igarashi, Yoshiaki; Yagami, Takeshi; Yoshii, Fumio

    1998-01-01

    In this study, it was conducted by using chemical analysis and cell toxic test if any volatile matter actually on radiation, if any matter could be detected when its generating, if it stayed to a safe volume range, and so forth. Objective materials of this study focus the elements used for medical devices always touching blood having large effect on human bodies. In this fiscal year, because of many cases of sterilization after filling water and necessary gas-liquid equilibrium for quantitative method using a head space when actually using the materials for dialyzer, irradiation was conducted after filling water into the material, comparison of gas volume and evaluation of safety with those of conventional experiments. As a result, by γ-ray irradiation, various matters are formed, and some volatile matters less than some ng level in present volume could be detected. However, from a standpoint of safety data and forming volume of the cell toxic test results, there were no matter anxious to safety. Furthermore, the present dialyzer and others are washed before using chemically, and its safety seems to be fully held. (G.K.)

  13. Two-dimensional non-volatile programmable p-n junctions

    Science.gov (United States)

    Li, Dong; Chen, Mingyuan; Sun, Zhengzong; Yu, Peng; Liu, Zheng; Ajayan, Pulickel M.; Zhang, Zengxing

    2017-09-01

    Semiconductor p-n junctions are the elementary building blocks of most electronic and optoelectronic devices. The need for their miniaturization has fuelled the rapid growth of interest in two-dimensional (2D) materials. However, the performance of a p-n junction considerably degrades as its thickness approaches a few nanometres and traditional technologies, such as doping and implantation, become invalid at the nanoscale. Here we report stable non-volatile programmable p-n junctions fabricated from the vertically stacked all-2D semiconductor/insulator/metal layers (WSe2/hexagonal boron nitride/graphene) in a semifloating gate field-effect transistor configuration. The junction exhibits a good rectifying behaviour with a rectification ratio of 104 and photovoltaic properties with a power conversion efficiency up to 4.1% under a 6.8 nW light. Based on the non-volatile programmable properties controlled by gate voltages, the 2D p-n junctions have been exploited for various electronic and optoelectronic applications, such as memories, photovoltaics, logic rectifiers and logic optoelectronic circuits.

  14. Unipolar resistive switching in metal oxide/organic semiconductor non-volatile memories as a critical phenomenon

    International Nuclear Information System (INIS)

    Bory, Benjamin F.; Meskers, Stefan C. J.; Rocha, Paulo R. F.; Gomes, Henrique L.; Leeuw, Dago M. de

    2015-01-01

    Diodes incorporating a bilayer of an organic semiconductor and a wide bandgap metal oxide can show unipolar, non-volatile memory behavior after electroforming. The prolonged bias voltage stress induces defects in the metal oxide with an areal density exceeding 10 17  m −2 . We explain the electrical bistability by the coexistence of two thermodynamically stable phases at the interface between an organic semiconductor and metal oxide. One phase contains mainly ionized defects and has a low work function, while the other phase has mainly neutral defects and a high work function. In the diodes, domains of the phase with a low work function constitute current filaments. The phase composition and critical temperature are derived from a 2D Ising model as a function of chemical potential. The model predicts filamentary conduction exhibiting a negative differential resistance and nonvolatile memory behavior. The model is expected to be generally applicable to any bilayer system that shows unipolar resistive switching

  15. Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions

    Science.gov (United States)

    2017-03-06

    WP-201317) Demonstration of Novel Sampling Techniques for Measurement of Turbine Engine Volatile and Non-volatile Particulate Matter (PM... Engine Volatile and Non-Volatile Particulate Matter (PM) Emissions 6. AUTHOR(S) E. Corporan, M. DeWitt, C. Klingshirn, M.D. Cheng, R. Miake-Lye, J. Peck...the performance and viability of two devices to condition aircraft turbine engine exhaust to allow the accurate measurement of total (volatile and non

  16. Emerging materials and devices in spintronic integrated circuits for energy-smart mobile computing and connectivity

    International Nuclear Information System (INIS)

    Kang, S.H.; Lee, K.

    2013-01-01

    A spintronic integrated circuit (IC) is made of a combination of a semiconductor IC and a dense array of nanometer-scale magnetic tunnel junctions. This emerging field is of growing scientific and engineering interest, owing to its potential to bring disruptive device innovation to the world of electronics. This technology is currently being pursued not only for scalable non-volatile spin-transfer-torque magnetoresistive random access memory, but also for various forms of non-volatile logic (Spin-Logic). This paper reviews recent advances in spintronic IC. Key discoveries and breakthroughs in materials and devices are highlighted in light of the broader perspective of their application in low-energy mobile computing and connectivity systems, which have emerged as leading drivers for the prevailing electronics ecosystem

  17. High-performance flexible resistive memory devices based on Al2O3:GeOx composite

    Science.gov (United States)

    Behera, Bhagaban; Maity, Sarmistha; Katiyar, Ajit K.; Das, Samaresh

    2018-05-01

    In this study a resistive switching random access memory device using Al2O3:GeOx composite thin films on flexible substrate is presented. A bipolar switching characteristic was observed for the co-sputter deposited Al2O3:GeOx composite thin films. Al/Al2O3:GeOx/ITO/PET memory device shows excellent ON/OFF ratio (∼104) and endurance (>500 cycles). GeOx nanocrystals embedded in the Al2O3 matrix have been found to play a significant role in enhancing the switching characteristics by facilitating oxygen vacancy formation. Mechanical endurance was retained even after several bending. The conduction mechanism of the device was qualitatively discussed by considering Ohmic and SCLC conduction. This flexible device is a potential candidate for next-generation electronics device.

  18. Feasibility study of molecular memory device based on DNA using methylation to store information

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Liming; Al-Dirini, Feras [Department of Electrical and Electronic Engineering, The University of Melbourne, Parkville 3010 (Australia); Center for Neural Engineering (CfNE), The University of Melbourne, Carlton 3053 (Australia); National ICT Australia, The University of Melbourne, Parkville 3010 (Australia); Qiu, Wanzhi; Skafidas, Efstratios, E-mail: sskaf@unimelb.edu.au [Department of Electrical and Electronic Engineering, The University of Melbourne, Parkville 3010 (Australia); Center for Neural Engineering (CfNE), The University of Melbourne, Carlton 3053 (Australia); Hossain, Faruque M. [Center for Neural Engineering (CfNE), The University of Melbourne, Carlton 3053 (Australia); Evans, Robin [Department of Electrical and Electronic Engineering, The University of Melbourne, Parkville 3010 (Australia)

    2016-07-14

    DNA, because of its robustness and dense information storage capability, has been proposed as a potential candidate for next-generation storage media. However, encoding information into the DNA sequence requires molecular synthesis technology, which to date is costly and prone to synthesis errors. Reading the DNA strand information is also complex. Ideally, DNA storage will provide methods for modifying stored information. Here, we conduct a feasibility study investigating the use of the DNA 5-methylcytosine (5mC) methylation state as a molecular memory to store information. We propose a new 1-bit memory device and study, based on the density functional theory and non-equilibrium Green's function method, the feasibility of electrically reading the information. Our results show that changes to methylation states lead to changes in the peak of negative differential resistance which can be used to interrogate memory state. Our work demonstrates a new memory concept based on methylation state which can be beneficial in the design of next generation DNA based molecular electronic memory devices.

  19. Feasibility study of molecular memory device based on DNA using methylation to store information

    International Nuclear Information System (INIS)

    Jiang, Liming; Al-Dirini, Feras; Qiu, Wanzhi; Skafidas, Efstratios; Hossain, Faruque M.; Evans, Robin

    2016-01-01

    DNA, because of its robustness and dense information storage capability, has been proposed as a potential candidate for next-generation storage media. However, encoding information into the DNA sequence requires molecular synthesis technology, which to date is costly and prone to synthesis errors. Reading the DNA strand information is also complex. Ideally, DNA storage will provide methods for modifying stored information. Here, we conduct a feasibility study investigating the use of the DNA 5-methylcytosine (5mC) methylation state as a molecular memory to store information. We propose a new 1-bit memory device and study, based on the density functional theory and non-equilibrium Green's function method, the feasibility of electrically reading the information. Our results show that changes to methylation states lead to changes in the peak of negative differential resistance which can be used to interrogate memory state. Our work demonstrates a new memory concept based on methylation state which can be beneficial in the design of next generation DNA based molecular electronic memory devices.

  20. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography

    KAUST Repository

    Semple, James; Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G.; McLachlan, Martyn A.; Anthopoulos, Thomas D.

    2017-01-01

    Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.

  1. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography

    KAUST Repository

    Semple, James

    2017-01-02

    Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.

  2. Investigation on amorphous InGaZnO based resistive switching memory with low-power, high-speed, high reliability

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Yang-Shun [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Hsu, Ching-Hui [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China)

    2013-12-31

    Recently, non-volatile memory (NVM) has been widely used in electronic devices. Nowadays, the prevailing NVM is Flash memory. However, it is generally believed that the conventional Flash memory will approach its scaling limit within about a decade. The resistive random access memory (RRAM) is emerging as one of the potential candidates for future memory replacement because of its high storage density, low power consumption as well as simple structure. The purpose of this work is to develop a reliable a-InGaZnO based resistive switching memory. We investigate the resistive switching characteristics of TiN/Ti/IGZO/Pt structure and TiN/IGZO/Pt structure. The device with TiN/Ti/IGZO/Pt structure exhibits stable bipolar resistive switching. The impact of inserting a Ti interlayer is studied by material analyses. The device shows excellent resistive switching properties. For example, the DC sweep endurance can achieve over 1000 times; and the pulse induced switching cycles can reach at least 10,000 times. Furthermore, the impact of different sputtering ambience, the variable temperature measurement, and the conduction mechanisms are also investigated. According to our experiments, we propose a model to explain the resistive switching phenomenon observed in our devices.

  3. Flexible spin-orbit torque devices

    Energy Technology Data Exchange (ETDEWEB)

    Lee, OukJae; You, Long; Jang, Jaewon; Subramanian, Vivek [Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, California 94720 (United States); Salahuddin, Sayeef [Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, California 94720 (United States); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)

    2015-12-21

    We report on state-of-the-art spintronic devices synthesized and fabricated directly on a flexible organic substrate. Large perpendicular magnetic anisotropy was achieved in ultrathin ferromagnetic heterostructures of Pt/Co/MgO sputtered on a non-rigid plastic substrate at room temperature. Subsequently, a full magnetic reversal of the Co was observed by exploiting the spin orbit coupling in Pt that leads to a spin accumulation at the Pt/Co interface when an in-plane current is applied. Quasi-static measurements show the potential for operating these devices at nano-second speeds. Importantly, the behavior of the devices remained unchanged under varying bending conditions (up to a bending radius of ≈ ±20–30 mm). Furthermore, the devices showed robust operation even after application of 10{sup 6} successive pulses, which is likely sufficient for many flexible applications. Thus, this work demonstrates the potential for integrating high performance spintronic devices on flexible substrates, which could lead to many applications ranging from flexible non-volatile magnetic memory to local magnetic resonance imaging.

  4. Flexible spin-orbit torque devices

    International Nuclear Information System (INIS)

    Lee, OukJae; You, Long; Jang, Jaewon; Subramanian, Vivek; Salahuddin, Sayeef

    2015-01-01

    We report on state-of-the-art spintronic devices synthesized and fabricated directly on a flexible organic substrate. Large perpendicular magnetic anisotropy was achieved in ultrathin ferromagnetic heterostructures of Pt/Co/MgO sputtered on a non-rigid plastic substrate at room temperature. Subsequently, a full magnetic reversal of the Co was observed by exploiting the spin orbit coupling in Pt that leads to a spin accumulation at the Pt/Co interface when an in-plane current is applied. Quasi-static measurements show the potential for operating these devices at nano-second speeds. Importantly, the behavior of the devices remained unchanged under varying bending conditions (up to a bending radius of ≈ ±20–30 mm). Furthermore, the devices showed robust operation even after application of 10 6 successive pulses, which is likely sufficient for many flexible applications. Thus, this work demonstrates the potential for integrating high performance spintronic devices on flexible substrates, which could lead to many applications ranging from flexible non-volatile magnetic memory to local magnetic resonance imaging

  5. Functionalized Graphitic Carbon Nitride for Metal-free, Flexible and Rewritable Nonvolatile Memory Device via Direct Laser-Writing

    Science.gov (United States)

    Zhao, Fei; Cheng, Huhu; Hu, Yue; Song, Long; Zhang, Zhipan; Jiang, Lan; Qu, Liangti

    2014-01-01

    Graphitic carbon nitride nanosheet (g-C3N4-NS) has layered structure similar with graphene nanosheet and presents unusual physicochemical properties due to the s-triazine fragments. But their electronic and electrochemical applications are limited by the relatively poor conductivity. The current work provides the first example that atomically thick g-C3N4-NSs are the ideal candidate as the active insulator layer with tunable conductivity for achieving the high performance memory devices with electrical bistability. Unlike in conventional memory diodes, the g-C3N4-NSs based devices combined with graphene layer electrodes are flexible, metal-free and low cost. The functionalized g-C3N4-NSs exhibit desirable dispersibility and dielectricity which support the all-solution fabrication and high performance of the memory diodes. Moreover, the flexible memory diodes are conveniently fabricated through the fast laser writing process on graphene oxide/g-C3N4-NSs/graphene oxide thin film. The obtained devices not only have the nonvolatile electrical bistability with great retention and endurance, but also show the rewritable memory effect with a reliable ON/OFF ratio of up to 105, which is the highest among all the metal-free flexible memory diodes reported so far, and even higher than those of metal-containing devices. PMID:25073687

  6. Growth of Si nanocrystals on alumina and integration in memory devices

    Science.gov (United States)

    Baron, T.; Fernandes, A.; Damlencourt, J. F.; De Salvo, B.; Martin, F.; Mazen, F.; Haukka, S.

    2003-06-01

    We present a detailed study of the growth of Si quantum dots (Si QDs) by low pressure chemical vapor deposition on alumina dielectric deposited by atomic layer deposition. The Si QDs density is very high, 1012 cm-2, for a mean diameter between 5 and 10 nm. Al2O3/Si QD stacks have been integrated in memory devices as granular floating gate. The devices demonstrate good charge storage and data retention characteristics.

  7. The multivariate supOU stochastic volatility model

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Stelzer, Robert

    Using positive semidefinite supOU (superposition of Ornstein-Uhlenbeck type) processes to describe the volatility, we introduce a multivariate stochastic volatility model for financial data which is capable of modelling long range dependence effects. The finiteness of moments and the second order...... structure of the volatility, the log returns, as well as their "squares" are discussed in detail. Moreover, we give several examples in which long memory effects occur and study how the model as well as the simple Ornstein-Uhlenbeck type stochastic volatility model behave under linear transformations....... In particular, the models are shown to be preserved under invertible linear transformations. Finally, we discuss how (sup)OU stochastic volatility models can be combined with a factor modelling approach....

  8. Zinc Cadmium Selenide Cladded Quantum Dot Based Electroluminescent and Nonvolatile Memory Devices

    Science.gov (United States)

    Al-Amody, Fuad H.

    This dissertation presents electroluminescent (EL) and nonvolatile memory devices fabricated using pseudomorphic ZnCdSe-based cladded quantum dots (QDs). These dots were grown using our own in-school built novel reactor. The EL device was fabricated on a substrate of ITO (indium tin oxide) coated glass with the quantum dots sandwiched between anode and cathode contacts with a small barrier layer on top of the QDs. The importance of these cladded dots is to increase the quantum yield of device. This device is unique as they utilize quantum dots that are pseudomorphic (nearly lattice-matched core and the shell of the dot). In the case of floating quantum dot gate nonvolatile memory, cladded ZnCdSe quantum dots are deposited on single crystalline gate insulator (ZnMgS/ZnMgSe), which is grown using metal-organic chemical vapor deposition (MOCVD). The control gate dielectric layer of the nonvolatile memory is Si3N4 or SiO2 and is grown using plasma enhanced chemical vapor deposition (PECVD). The cladded dots are grown using an improved methodology of photo-assisted microwave plasma metal-organic chemical vapor deposition (PMP-MOCVD) enhanced reactor. The cladding composition of the core and shell of the dots was engineered by the help of ultraviolet light which changed the incorporation of zinc (and hence composition of ZnCdSe). This makes ZnxCd1--xSe-ZnyCd1--y Se QDs to have a low composition of zinc in the core than the cladding (x

  9. Controlling the volatility of the written optical state in electrochromic DNA liquid crystals

    Science.gov (United States)

    Liu, Kai; Varghese, Justin; Gerasimov, Jennifer Y.; Polyakov, Alexey O.; Shuai, Min; Su, Juanjuan; Chen, Dong; Zajaczkowski, Wojciech; Marcozzi, Alessio; Pisula, Wojciech; Noheda, Beatriz; Palstra, Thomas T. M.; Clark, Noel A.; Herrmann, Andreas

    2016-05-01

    Liquid crystals are widely used in displays for portable electronic information display. To broaden their scope for other applications like smart windows and tags, new material properties such as polarizer-free operation and tunable memory of a written state become important. Here, we describe an anhydrous nanoDNA-surfactant thermotropic liquid crystal system, which exhibits distinctive electrically controlled optical absorption, and temperature-dependent memory. In the liquid crystal isotropic phase, electric field-induced colouration and bleaching have a switching time of seconds. Upon transition to the smectic liquid crystal phase, optical memory of the written state is observed for many hours without applied voltage. The reorientation of the DNA-surfactant lamellar layers plays an important role in preventing colour decay. Thereby, the volatility of optoelectronic state can be controlled simply by changing the phase of the material. This research may pave the way for developing a new generation of DNA-based, phase-modulated, photoelectronic devices.

  10. Flexible nonvolatile memory devices based on Au/PMMA nanocomposites deposited on PEDOT:PSS/Ag nanowire hybrid electrodes

    International Nuclear Information System (INIS)

    Sung, Sihyun; Kim, Tae Whan

    2017-01-01

    Highlights: • Flexible nonvolatile memory (NVM) devices fabricated utilizing Au nanoparticles (AuNPs) embedded in a PMMA layer were fabricated. • The insertion of the PEDOT:PSS layer enhanced the surface uniformity of the AgNW bottom electrode, resulting in improved device performances. • Current-voltage curves for the Al/PMMA:AuNP/PEDOT:PSS/AgNW/PET devices showed clockwise current hysteresis behaviors. • ON/OFF ratio of 1 × 10 3 was maintained for retention times longer than 1 × 10 4 s. • Memory characteristics of the NVM devices before and after bending were similar. - Abstract: Flexible nonvolatile memory (NVM) devices fabricated utilizing Au nanoparticles (AuNPs) embedded in a poly(methylmethacrylate) (PMMA) layer were fabricated on a silver nanowire (AgNW) or a poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)/AgNW coated on poly(ethylene terephthalate) (PET) substrates. The transmittance and the sheet resistance of the PEDOT:PSS/AgNW hybrid layer were approximately 89% and 50 Ω/sq, respectively, which were comparable to the values for commercial indium-tin-oxide (ITO) electrodes. Current-voltage curves for the Al/PMMA:AuNP/PEDOT:PSS/AgNW/PET devices at 300 K showed clockwise current hysteresis behaviors due to the existence of the AuNPs. The endurance number of ON/OFF switching for the NVM devices was above 30 cycles. An ON/OFF ratio of 1 × 10 3 was maintained for retention times longer than 1 × 10 4 s. The maximum memory margins of the NVM devices before and after bending were approximately 3.4 × 10 3 and 1.4 × 10 3 , respectively. The retention times of the devices before and after bending remained same 1 × 10 4 s. The memory margin and the stability of flexible NVMs fabricated on AgNW electrodes were enhanced due to the embedded PEDOT:PSS buffer layer.

  11. Flexible nonvolatile memory devices based on Au/PMMA nanocomposites deposited on PEDOT:PSS/Ag nanowire hybrid electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Sung, Sihyun; Kim, Tae Whan, E-mail: twk@hanyang.ac.kr

    2017-07-31

    Highlights: • Flexible nonvolatile memory (NVM) devices fabricated utilizing Au nanoparticles (AuNPs) embedded in a PMMA layer were fabricated. • The insertion of the PEDOT:PSS layer enhanced the surface uniformity of the AgNW bottom electrode, resulting in improved device performances. • Current-voltage curves for the Al/PMMA:AuNP/PEDOT:PSS/AgNW/PET devices showed clockwise current hysteresis behaviors. • ON/OFF ratio of 1 × 10{sup 3} was maintained for retention times longer than 1 × 10{sup 4} s. • Memory characteristics of the NVM devices before and after bending were similar. - Abstract: Flexible nonvolatile memory (NVM) devices fabricated utilizing Au nanoparticles (AuNPs) embedded in a poly(methylmethacrylate) (PMMA) layer were fabricated on a silver nanowire (AgNW) or a poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)/AgNW coated on poly(ethylene terephthalate) (PET) substrates. The transmittance and the sheet resistance of the PEDOT:PSS/AgNW hybrid layer were approximately 89% and 50 Ω/sq, respectively, which were comparable to the values for commercial indium-tin-oxide (ITO) electrodes. Current-voltage curves for the Al/PMMA:AuNP/PEDOT:PSS/AgNW/PET devices at 300 K showed clockwise current hysteresis behaviors due to the existence of the AuNPs. The endurance number of ON/OFF switching for the NVM devices was above 30 cycles. An ON/OFF ratio of 1 × 10{sup 3} was maintained for retention times longer than 1 × 10{sup 4} s. The maximum memory margins of the NVM devices before and after bending were approximately 3.4 × 10{sup 3} and 1.4 × 10{sup 3}, respectively. The retention times of the devices before and after bending remained same 1 × 10{sup 4} s. The memory margin and the stability of flexible NVMs fabricated on AgNW electrodes were enhanced due to the embedded PEDOT:PSS buffer layer.

  12. Scaling dependence of memory windows and different carrier charging behaviors in Si nanocrystal nonvolatile memory devices

    Science.gov (United States)

    Yu, Jie; Chen, Kun-ji; Ma, Zhong-yuan; Zhang, Xin-xin; Jiang, Xiao-fan; Wu, Yang-qing; Huang, Xin-fan; Oda, Shunri

    2016-09-01

    Based on the charge storage mode, it is important to investigate the scaling dependence of memory performance in silicon nanocrystal (Si-NC) nonvolatile memory (NVM) devices for its scaling down limit. In this work, we made eight kinds of test key cells with different gate widths and lengths by 0.13-μm node complementary metal oxide semiconductor (CMOS) technology. It is found that the memory windows of eight kinds of test key cells are almost the same of about 1.64 V @ ± 7 V/1 ms, which are independent of the gate area, but mainly determined by the average size (12 nm) and areal density (1.8 × 1011/cm2) of Si-NCs. The program/erase (P/E) speed characteristics are almost independent of gate widths and lengths. However, the erase speed is faster than the program speed of test key cells, which is due to the different charging behaviors between electrons and holes during the operation processes. Furthermore, the data retention characteristic is also independent of the gate area. Our findings are useful for further scaling down of Si-NC NVM devices to improve the performance and on-chip integration. Project supported by the State Key Development Program for Basic Research of China (Grant No. 2010CB934402) and the National Natural Science Foundation of China (Grant Nos. 11374153, 61571221, and 61071008).

  13. Memory device sensitivity trends in aircraft's environment

    International Nuclear Information System (INIS)

    Bouchet, T.; Fourtine, S.; Calvet, M.C.

    1999-01-01

    The authors present the SEU (single event upset) sensitivity of 31 SRAM (static random access memory) and 8 DRAM (dynamic random access memory) according to their technologies. 2 methods have been used to compute the SEU rate: the NCS (neutron cross section) method and the BGR (burst generation rate) method, the physics data required by both methods have been either found in scientific literature or directly measured. The use of new technologies implies a quicker time response through a dramatic reduction of chip size and of the amount of energy representing 1 bit. The reduction of size makes less particles are likely to interact with the chip but the reduction of the critical charge implies that these interactions are more likely to damage the chip. The SEU sensitivity is then parted between these 2 opposed trends. Results show that for technologies beyond 0,18 μm these 2 trends balance roughly. Nevertheless the feedback experience shows that the number of errors is increasing. This is due to the fact that avionics requires more and more memory to perform numerical functions, the number of bits is increasing so is the risk of errors. As far as SEU is concerned, RAM devices are less and less sensitive comparatively for 1 bit, and DRAM seem to be less sensitive than SRAM. (A.C.)

  14. MemFlash device: floating gate transistors as memristive devices for neuromorphic computing

    Science.gov (United States)

    Riggert, C.; Ziegler, M.; Schroeder, D.; Krautschneider, W. H.; Kohlstedt, H.

    2014-10-01

    Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit.

  15. MemFlash device: floating gate transistors as memristive devices for neuromorphic computing

    International Nuclear Information System (INIS)

    Riggert, C; Ziegler, M; Kohlstedt, H; Schroeder, D; Krautschneider, W H

    2014-01-01

    Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit. (paper)

  16. Large non-volatile tuning of magnetism mediated by electric field in Fe–Al/Pb(Mg1/3Nb2/3)O3–PbTiO3 heterostructure

    International Nuclear Information System (INIS)

    Chen, Zhendong; Gao, Cunxu; Wei, Yanping; Zhang, Peng; Wang, Yutian; Zhang, Chao; Ma, Zhikun

    2017-01-01

    Electric-field control of magnetism is now an attractive trend to approach a new kind of fast, low-power-cost memory device. In this work, we report a strong non-volatile electric control of magnetism in an Fe–Al/Pb(Mg 1/3 Nb 2/3 )O 3 –PbTiO 3 heterostructure. In this system, a 90° rotation of the in-plane uniaxial magnetic anisotropy is exhibited during the increase of the external electric field, which means the easy axis turns into a hard axis and the hard axis turns into an easy one. Additionally, a non-volatile switch of the remanence is observed after a sweeping of the electric field from 0 kV cm −1 to  ±  10 kV cm −1 , then back to 0 kV cm −1 . More interestingly, a 20% non-volatile magnetic state tuning driven by individual pulse electric fields is shown in contrast to large tuning up to 120% caused by pulse electric fields with small assistant pulse magnetic fields, which means a 180° reverse of the magnetization. These remarkable behaviors demonstrated in this heterostructure reveal a promising potential application in magnetic memory devices mediated by electric fields. (paper)

  17. Compact modeling of CRS devices based on ECM cells for memory, logic and neuromorphic applications

    International Nuclear Information System (INIS)

    Linn, E; Ferch, S; Waser, R; Menzel, S

    2013-01-01

    Dynamic physics-based models of resistive switching devices are of great interest for the realization of complex circuits required for memory, logic and neuromorphic applications. Here, we apply such a model of an electrochemical metallization (ECM) cell to complementary resistive switches (CRSs), which are favorable devices to realize ultra-dense passive crossbar arrays. Since a CRS consists of two resistive switching devices, it is straightforward to apply the dynamic ECM model for CRS simulation with MATLAB and SPICE, enabling study of the device behavior in terms of sweep rate and series resistance variations. Furthermore, typical memory access operations as well as basic implication logic operations can be analyzed, revealing requirements for proper spike and level read operations. This basic understanding facilitates applications of massively parallel computing paradigms required for neuromorphic applications. (paper)

  18. Proboscis extension reflex platform for volatiles and semi-volatiles detection

    Energy Technology Data Exchange (ETDEWEB)

    Wingo, Robert M. (Los Alamos, NM); McCabe, Kirsten J. (Los Alamos, NM); Haarmann, Timothy K. (Jemez Pueblo, NM)

    2010-11-30

    The present invention provides an apparatus for the detection of volatile and semi-volatile chemicals using the olfactory abilities of honey bees that are trained to respond to the presence of a specific chemical in a sample of gas with the proboscis extension reflex (PER). In particular, the geometry and arrangement of the parts of the apparatus are such that the amount of surface area in contact with the sample of gas prior to its introduction to the bees is minimized to improve the detection of particular volatile and semi-volatile that have a tendency to "stick" to contacting surfaces, especially certain chemicals associated with explosives and narcotics. According to another aspect of the present invention, a pre-concentrating means is incorporated with the device to effectively increase the concentration of "sticky" chemicals presented to the insects.

  19. Fluctuation behaviors of financial return volatility duration

    Science.gov (United States)

    Niu, Hongli; Wang, Jun; Lu, Yunfan

    2016-04-01

    It is of significantly crucial to understand the return volatility of financial markets because it helps to quantify the investment risk, optimize the portfolio, and provide a key input of option pricing models. The characteristics of isolated high volatility events above certain threshold in price fluctuations and the distributions of return intervals between these events arouse great interest in financial research. In the present work, we introduce a new concept of daily return volatility duration, which is defined as the shortest passage time when the future volatility intensity is above or below the current volatility intensity (without predefining a threshold). The statistical properties of the daily return volatility durations for seven representative stock indices from the world financial markets are investigated. Some useful and interesting empirical results of these volatility duration series about the probability distributions, memory effects and multifractal properties are obtained. These results also show that the proposed stock volatility series analysis is a meaningful and beneficial trial.

  20. The influence of Ti doping and annealing on Ce_2Ti_2O_7 flash memory devices

    International Nuclear Information System (INIS)

    Kao, Chyuan Haur; Chen, Su Zhien; Luo, Yang; Chiu, Wang Ting; Chiu, Shih Wei; Chen, I Chien; Lin, Chan-Yu; Chen, Hsiang

    2017-01-01

    Highlights: • Ce_2Ti_2O_7 flash memories have been fabricated. • Material quality can be improved by annealing. • The memory performance can be enhanced by Ti doping. • Ti doping and annealing can reinforce crystallization. - Abstract: In this research, a CeO_2 film with Ti doping was used as a trapping layer in metal oxide high-K-oxide-Si (MOHOS)-type memory devices. Since incorporation of Ti atoms into the film could fix dangling bonds and defects, the Ce_2Ti_2O_7 trapping layer with annealing treatment could have a larger memory window and a faster programming/erasing speed. To confirm the origin, multiple material analyses indicate that annealing at an appropriate temperature and Ti doping could enhance crystallization. The Ce_2Ti_2O_7-based memory device is promising for future industrial flash memory applications.

  1. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  2. Long memory and the relation between implied and realized volatility

    OpenAIRE

    Federico Bandi; Benoit Perron

    2003-01-01

    We argue that the conventional predictive regression between implied volatility (regressor) and realized volatility over the remaining life of the option (regressand) is likely to be a fractional cointegrating relation. Since cointegration is associated with long-run comovements, this finding modifies the usual interpretation of such regression as a study towards assessing option market efficiency (given a certain option pricing model) and/or short-term unbiasedness of implied volatility as a...

  3. Application of complex programmable logic devices in memory radiation effects test system

    International Nuclear Information System (INIS)

    Li Yonghong; He Chaohui; Yang Hailiang; He Baoping

    2005-01-01

    The application of the complex programmable logic device (CPLD) in electronics is emphatically discussed. The method of using software MAX + plus II and CPLD are introduced. A new test system for memory radiation effects is established by using CPLD devices-EPM7128C84-15. The old test system's function are realized and, moreover, a number of small scale integrated circuits are reduced and the test system's reliability is improved. (authors)

  4. Effect of hydrogen ion beam treatment on Si nanocrystal/SiO_2 superlattice-based memory devices

    International Nuclear Information System (INIS)

    Fu, Sheng-Wen; Chen, Hui-Ju; Wu, Hsuan-Ta; Chuang, Bing-Ru; Shih, Chuan-Feng

    2016-01-01

    Graphical abstract: - Highlights: • Memory window and retention properties are improved employing HIBAS technique. • The O/Si ratio and radiative recombination are changed by HIBAS. • Memory properties are affected not only by Si NCs and O/Si ratio but also the RDCs. • The mechanism of hydrogen ion beam alters the memory properties is investigated. - Abstract: This study presents a novel route for synthesizing silicon-rich oxide (SRO)/SiO_2 superlattice-based memory devices with an improved memory window and retention properties. The SiO_2 and SRO superlattices are deposited by reactive sputtering. Specifically, the hydrogen ion beam is used to irradiate the SRO layer immediately after its deposition in the vacuum chamber. The use of the hydrogen ion beam was determined to increase oxygen content and the density of the Si nanocrystals. The memory window increased from 16 to 25.6 V, and the leakage current decreased significantly by two orders, to under ±20 V, for the hydrogen ion beam-prepared devices. This study investigates the mechanism into how hydrogen ion beam treatment alters SRO films and influences memory properties.

  5. High performance devices enabled by epitaxial, preferentially oriented, nanodots and/or nanorods

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2011-10-11

    Novel articles and methods to fabricate same with self-assembled nanodots and/or nanorods of a single or multicomponent material within another single or multicomponent material for use in electrical, electronic, magnetic, electromagnetic, superconducting and electrooptical devices is disclosed. Self-assembled nanodots and/or nanorods are ordered arrays wherein ordering occurs due to strain minimization during growth of the materials. A simple method to accomplish this when depositing in-situ films is also disclosed. Device applications of resulting materials are in areas of superconductivity, photovoltaics, ferroelectrics, magnetoresistance, high density storage, solid state lighting, non-volatile memory, photoluminescence, thermoelectrics and in quantum dot lasers.

  6. Enabling universal memory by overcoming the contradictory speed and stability nature of phase-change materials.

    Science.gov (United States)

    Wang, Weijie; Loke, Desmond; Shi, Luping; Zhao, Rong; Yang, Hongxin; Law, Leong-Tat; Ng, Lung-Tat; Lim, Kian-Guan; Yeo, Yee-Chia; Chong, Tow-Chong; Lacaita, Andrea L

    2012-01-01

    The quest for universal memory is driving the rapid development of memories with superior all-round capabilities in non-volatility, high speed, high endurance and low power. Phase-change materials are highly promising in this respect. However, their contradictory speed and stability properties present a key challenge towards this ambition. We reveal that as the device size decreases, the phase-change mechanism changes from the material inherent crystallization mechanism (either nucleation- or growth-dominated), to the hetero-crystallization mechanism, which resulted in a significant increase in PCRAM speeds. Reducing the grain size can further increase the speed of phase-change. Such grain size effect on speed becomes increasingly significant at smaller device sizes. Together with the nano-thermal and electrical effects, fast phase-change, good stability and high endurance can be achieved. These findings lead to a feasible solution to achieve a universal memory.

  7. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    Science.gov (United States)

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  8. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  9. Unusual magnetic behavior in a chiral-based magnetic memory device

    Energy Technology Data Exchange (ETDEWEB)

    Ben-Dor, Oren; Yochelis, Shira [Department of Applied Physics, Center of Nanoscience and Nanotechnology, Hebrew University, Jerusalem 91904 (Israel); Felner, Israel, E-mail: Israel.felner@mail.huij.ac.il [“Racah” Institute of Physics, Hebrew University, Jerusalem 91904 (Israel); Paltiel, Yossi [Department of Applied Physics, Center of Nanoscience and Nanotechnology, Hebrew University, Jerusalem 91904 (Israel)

    2016-01-15

    In recent years chiral molecules were found to act as efficient spin filters. Using a multilayer structure with chiral molecules magnetic memory was realized. Observed rare magnetic phenomena in a chiral-based magnetic memory device was reported by O-Ben Dor et. al in Nature Commun, 4, 2256 (2013). This multi-layered device is built from α-helix L-polyalanine (AHPA-L) adsorbed on gold, Al{sub 2}O{sub 3} (7 nm) and Ni (30 nm) layers. It was shown that certain temperature range the FC branch crosses the magnetic peak (at 55 K) observed in the ZFC curve thus ZFC>FC. We show here that in another similar multi-layered material, at low applied field, the ZFC curve lies above the FC one up to 70 K. The two features have the same origin and the crucial necessary components to exhibit them are: AHPA-L and 30 nm Ni layered thick. Similar effects were also reported in sulfur doped amorphous carbon. A comparison between the two systems and the ingredients for these peculiar observations is discussed. - Highlights: • The highlights of the present manuscript is the peculiar magnetic behavior observed in a multilayer structure with chiral molecules, magnetic memory. • It is shown that certain temperature range the FC branch crosses the magnetic peak (at 55 K) observed in the ZFC curve thus ZFC>FC. • Similar effects were also reported in sulfur doped amorphous carbon.

  10. Resistive switching characteristics of solution-processed organic-inorganic blended films for flexible memory applications

    Science.gov (United States)

    Baek, Il-Jin; Cho, Won-Ju

    2018-02-01

    We developed a hybrid organic-inorganic resistive random access memory (ReRAM) device that uses a solution-process to overcome the disadvantages of organic and inorganic materials for flexible memory applications. The drawbacks of organic and inorganic materials are a poor electrical characteristics and a lack of flexibility, respectively. We fabricated a hybrid organic-inorganic switching layer of ReRAM by blending HfOx or AlOx solution with PMMA solution and investigated the resistive switching behaviour in Ti/PMMA/Pt, Ti/PMMA-HfOx/Pt and Ti/PMMA-AlOx/Pt structures. It is found that PMMA-HfOx or PMMA-AlOx hybrid switching layer has a larger memory window, more stable durability and retention characteristics, and a better set/reset voltage distribution than PMMA layer. Further, it is confirmed that the flexibility of the PMMA-HfOx and PMMA-AlOx blended films was almost similar to that of the organic PMMA film. Thus, the solution-processed organic-inorganic blended films are considered a promising material for a non-volatile memory device on a flexible or wearable electronic system.

  11. Effect of vacuum annealing on evaporated pentacene thin films for memory device applications

    International Nuclear Information System (INIS)

    Gayathri, A.G.; Joseph, C.M.

    2016-01-01

    Graphical abstract: Switching of ITO/pentacene/Al thin films for different annealing temperatures. - Highlights: • Memory device performance in pentacene improved considerably with annealing. • ON/OFF ratio of the pentacene device increases due to annealing. • Threshold voltage reduces from 2.55 V to 1.35 V due to annealing. • Structure of pentacene thin films is also dependent on annealing temperature. - Abstract: Thin films of pentacene were deposited thermally onto glass substrates and annealed at 323 K, 373 K, 423 K, 473 K and 523 K in high vacuum. Effect of annealing on the morphological and structural properties of these films was studied. X-ray diffraction patterns confirmed the crystalline nature of the films. Electrical studies for the use as write once read many (WORM) memory devices were done for the vacuum deposited pentacene thin films on indium tin oxide coated glass. Due to annealing, a sharp increase in the ON/OFF ratio of current and a decrease in threshold voltage were observed at around 373 K. This device showed a stable switching with an ON/OFF current ratio as high as 10 9 and a switching threshold voltage of 1.35 V. The performance of the device degraded above 423 K due to the changes in the crystallinity of the film.

  12. Effect of vacuum annealing on evaporated pentacene thin films for memory device applications

    Energy Technology Data Exchange (ETDEWEB)

    Gayathri, A.G., E-mail: gaythri305@yahoo.com; Joseph, C.M., E-mail: cmjoseph@rediffmail.com

    2016-09-15

    Graphical abstract: Switching of ITO/pentacene/Al thin films for different annealing temperatures. - Highlights: • Memory device performance in pentacene improved considerably with annealing. • ON/OFF ratio of the pentacene device increases due to annealing. • Threshold voltage reduces from 2.55 V to 1.35 V due to annealing. • Structure of pentacene thin films is also dependent on annealing temperature. - Abstract: Thin films of pentacene were deposited thermally onto glass substrates and annealed at 323 K, 373 K, 423 K, 473 K and 523 K in high vacuum. Effect of annealing on the morphological and structural properties of these films was studied. X-ray diffraction patterns confirmed the crystalline nature of the films. Electrical studies for the use as write once read many (WORM) memory devices were done for the vacuum deposited pentacene thin films on indium tin oxide coated glass. Due to annealing, a sharp increase in the ON/OFF ratio of current and a decrease in threshold voltage were observed at around 373 K. This device showed a stable switching with an ON/OFF current ratio as high as 10{sup 9} and a switching threshold voltage of 1.35 V. The performance of the device degraded above 423 K due to the changes in the crystallinity of the film.

  13. Fabrication of InGaZnO Nonvolatile Memory Devices at Low Temperature of 150 degrees C for Applications in Flexible Memory Displays and Transparency Coating on Plastic Substrates.

    Science.gov (United States)

    Hanh, Nguyen Hong; Jang, Kyungsoo; Yi, Junsin

    2016-05-01

    We directly deposited amorphous InGaZnO (a-IGZO) nonvolatile memory (NVM) devices with oxynitride-oxide-dioxide (OOO) stack structures on plastic substrate by a DC pulsed magnetron sputtering and inductively coupled plasma chemical vapor deposition (ICPCVD) system, using a low-temperature of 150 degrees C. The fabricated bottom gate a-IGZO NVM devices have a wide memory window with a low operating voltage during programming and erasing, due to an effective control of the gate dielectrics. In addition, after ten years, the memory device retains a memory window of over 73%, with a programming duration of only 1 ms. Moreover, the a-IGZO films show high optical transmittance of over 85%, and good uniformity with a root mean square (RMS) roughness of 0.26 nm. This film is a promising candidate to achieve flexible displays and transparency on plastic substrates because of the possibility of low-temperature deposition, and the high transparent properties of a-IGZO films. These results demonstrate that the a-IGZO NVM devices obtained at low-temperature have a suitable programming and erasing efficiency for data storage under low-voltage conditions, in combination with excellent charge retention characteristics, and thus show great potential application in flexible memory displays.

  14. Chemical insight into origin of forming-free resistive random-access memory devices

    KAUST Repository

    Wu, X.; Fang, Z.; Li, K.; Bosman, M.; Raghavan, N.; Li, X.; Yu, H. Y.; Singh, N.; Lo, G. Q.; Zhang, Xixiang; Pey, K. L.

    2011-01-01

    We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission

  15. Migration of interfacial oxygen ions modulated resistive switching in oxide-based memory devices

    Science.gov (United States)

    Chen, C.; Gao, S.; Zeng, F.; Tang, G. S.; Li, S. Z.; Song, C.; Fu, H. D.; Pan, F.

    2013-07-01

    Oxides-based resistive switching memory induced by oxygen ions migration is attractive for future nonvolatile memories. Numerous works had focused their attentions on the sandwiched oxide materials for depressing the characteristic variations, but the comprehensive studies of the dependence of electrodes on the migration behavior of oxygen ions are overshadowed. Here, we investigated the interaction of various metals (Ni, Co, Al, Ti, Zr, and Hf) with oxygen atoms at the metal/Ta2O5 interface under electric stress and explored the effect of top electrode on the characteristic variations of Ta2O5-based memory device. It is demonstrated that chemically inert electrodes (Ni and Co) lead to the scattering switching characteristics and destructive gas bubbles, while the highly chemically active metals (Hf and Zr) formed a thick and dense interfacial intermediate oxide layer at the metal/Ta2O5 interface, which also degraded the resistive switching behavior. The relatively chemically active metals (Al and Ti) can absorb oxygen ions from the Ta2O5 film and avoid forming the problematic interfacial layer, which is benefit to the formation of oxygen vacancies composed conduction filaments in Ta2O5 film thus exhibit the minimum variations of switching characteristics. The clarification of oxygen ions migration behavior at the interface can lead further optimization of resistive switching performance in Ta2O5-based memory device and guide the rule of electrode selection for other oxide-based resistive switching memories.

  16. Defect engineering: reduction effect of hydrogen atom impurities in HfO2-based resistive-switching memory devices

    International Nuclear Information System (INIS)

    Kim, Seonghyun; Park, Jubong; Jung, Seungjae; Lee, Wootae; Shin, Jungho; Hwang, Hyunsang; Lee, Daeseok; Woo, Jiyong; Choi, Godeuni

    2012-01-01

    In this study, we propose a new and effective methodology for improving the resistive-switching performance of memory devices by high-pressure hydrogen annealing under ambient conditions. The reduction effect results in the uniform creation of oxygen vacancies that in turn enable forming-free operation and afford uniform switching characteristics. In addition, H + and mobile hydroxyl (OH − ) ions are generated, and these induce fast switching operation due to the higher mobility compared to oxygen ions. Defect engineering, specifically, the introduction of hydrogen atom impurities, improves the device performance for metal–oxide-based resistive-switching random access memory devices. (paper)

  17. High performance superconducting devices enabled by three dimensionally ordered nanodots and/or nanorods

    Science.gov (United States)

    Goyal, Amit

    2013-09-17

    Novel articles and methods to fabricate same with self-assembled nanodots and/or nanorods of a single or multicomponent material within another single or multicomponent material for use in electrical, electronic, magnetic, electromagnetic and electrooptical devices is disclosed. Self-assembled nanodots and/or nanorods are ordered arrays wherein ordering occurs due to strain minimization during growth of the materials. A simple method to accomplish this when depositing in-situ films is also disclosed. Device applications of resulting materials are in areas of superconductivity, photovoltaics, ferroelectrics, magnetoresistance, high density storage, solid state lighting, non-volatile memory, photoluminescence, thermoelectrics and in quantum dot lasers.

  18. Empirical study of the metal-nitride-oxide-semiconductor device characteristics deduced from a microscopic model of memory traps

    International Nuclear Information System (INIS)

    Ngai, K.L.; Hsia, Y.

    1982-01-01

    A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features

  19. Investigations on the effects of electrode materials on the device characteristics of ferroelectric memory thin film transistors fabricated on flexible substrates

    Science.gov (United States)

    Yang, Ji-Hee; Yun, Da-Jeong; Seo, Gi-Ho; Kim, Seong-Min; Yoon, Myung-Han; Yoon, Sung-Min

    2018-03-01

    For flexible memory device applications, we propose memory thin-film transistors using an organic ferroelectric poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] gate insulator and an amorphous In-Ga-Zn-O (a-IGZO) active channel. The effects of electrode materials and their deposition methods on the characteristics of memory devices exploiting the ferroelectric field effect were investigated for the proposed ferroelectric memory thin-film transistors (Fe-MTFTs) at flat and bending states. It was found that the plasma-induced sputtering deposition and mechanical brittleness of the indium-tin oxide (ITO) markedly degraded the ferroelectric-field-effect-driven memory window and bending characteristics of the Fe-MTFTs. The replacement of ITO electrodes with metal aluminum (Al) electrodes prepared by plasma-free thermal evaporation greatly enhanced the memory device characteristics even under bending conditions owing to their mechanical ductility. Furthermore, poly(3,4-ethylenedioxythiophene)-poly(styrene sulfonate) (PEDOT:PSS) was introduced to achieve robust bending performance under extreme mechanical stress. The Fe-MTFTs using PEDOT:PSS source/drain electrodes were successfully fabricated and showed the potential for use as flexible memory devices. The suitable choice of electrode materials employed for the Fe-MTFTs is concluded to be one of the most important control parameters for highly functional flexible Fe-MTFTs.

  20. Volatilities, traded volumes, and the hypothesis of price increments in derivative securities

    Science.gov (United States)

    Lim, Gyuchang; Kim, SooYong; Scalas, Enrico; Kim, Kyungsik

    2007-08-01

    A detrended fluctuation analysis (DFA) is applied to the statistics of Korean treasury bond (KTB) futures from which the logarithmic increments, volatilities, and traded volumes are estimated over a specific time lag. In this study, the logarithmic increment of futures prices has no long-memory property, while the volatility and the traded volume exhibit the existence of the long-memory property. To analyze and calculate whether the volatility clustering is due to a inherent higher-order correlation not detected by with the direct application of the DFA to logarithmic increments of KTB futures, it is of importance to shuffle the original tick data of future prices and to generate a geometric Brownian random walk with the same mean and standard deviation. It was found from a comparison of the three tick data that the higher-order correlation inherent in logarithmic increments leads to volatility clustering. Particularly, the result of the DFA on volatilities and traded volumes can be supported by the hypothesis of price changes.

  1. InAs quantum dots as charge storing elements for applications in flash memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul; Biswas, Pranab [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Banerji, P., E-mail: pallab@matsc.iitkgp.ernet.in [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India)

    2015-08-15

    Graphical abstract: - Highlights: • Catalyst-free growth of InAs quantum dots was carried out on high-k ZrO{sub 2}. • Memory device with InAs quantum dots as charge storage nodes are fabricated. • Superior memory window, low leakage and reasonably good retention were observed. • Carrier transport phenomena are explained in both program and erase operations. - Abstract: InAs quantum dots (QDs) were grown by metal organic chemical vapor deposition technique to use them as charge storage nodes. Uniform QDs were formed with average diameter 5 nm and height 5–10 nm with a density of 2 × 10{sup 11} cm{sup −2}. The QDs were grown on high-k dielectric layer (ZrO{sub 2}), which was deposited onto ultra-thin GaP passivated p-GaAs (1 0 0) substrate. A charge storage device with the structure Metal/ZrO{sub 2}/InAs QDs/ZrO{sub 2}/(GaP)GaAs/Metal was fabricated. The devices containing InAs QDs exhibit superior memory window, low leakage current density along with reasonably good charge retention. A suitable electronic band diagram corresponding to programming and erasing operations was proposed to explain the operation.

  2. Towards an Artificial Phonological Loop: An Assistive Device for Working Memory and Attentional Control

    Directory of Open Access Journals (Sweden)

    D. Bogen

    2006-01-01

    Full Text Available We describe the initial development of an artificial phonological loop (APL, a new technology to assist individuals with impairment of the working memory system. The phonological loop, along with the visuospatial sketchpad, is one of the two slave short-term memory subsystems that comprise working memory, a cognitive function closely associated with the control of attention. In the phonological loop, phonological (speech information lasting for 1–2 second is maintained active by repetitive, subvocal (silent speech rehearsal. Deficits in working memory, specifically in the phonological loop, occur in many disorders, including attention-deficit disorder and Alzheimer’s disease. In these disorders, it appears that the ability for phonological rehearsal is intact, but the regulation or triggering of the rehearsal process is inadequate, thus causing the contents of working memory to be lost. The purpose, then, of the APL is to facilitate the phonological loop by artificially extending the duration of phonological rehearsals. The APL mimics the natural phonological loop by providing audible vocal echoes to take the place of subvocal rehearsals. In this system, the user talks to him/herself in short (1–2 second phrases; the device records these phrases, stores them in electronic memory, and then repeats— i.e., echoes—the phrases multiple times over an extended period. Two versions of this device have been developed: the Echo-APL and the Rearticulation-APL. In the Echo-APL, only echoing is involved. In the Rearticulation-APL, however, the user re-vocalizes (rearticulates the phrase in response to an audible cue. The device repeats the cue until it detects (hears the re-vocalization. Future research and development of the APL will require extensive testing and careful evaluation of possible echo-schedules: the predefined program controlling inter-echo time intervals and echo-amplitude (echo loudness. The APL essentially exteriorizes the silent

  3. Metal oxide resistive random access memory based synaptic devices for brain-inspired computing

    Science.gov (United States)

    Gao, Bin; Kang, Jinfeng; Zhou, Zheng; Chen, Zhe; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan

    2016-04-01

    The traditional Boolean computing paradigm based on the von Neumann architecture is facing great challenges for future information technology applications such as big data, the Internet of Things (IoT), and wearable devices, due to the limited processing capability issues such as binary data storage and computing, non-parallel data processing, and the buses requirement between memory units and logic units. The brain-inspired neuromorphic computing paradigm is believed to be one of the promising solutions for realizing more complex functions with a lower cost. To perform such brain-inspired computing with a low cost and low power consumption, novel devices for use as electronic synapses are needed. Metal oxide resistive random access memory (ReRAM) devices have emerged as the leading candidate for electronic synapses. This paper comprehensively addresses the recent work on the design and optimization of metal oxide ReRAM-based synaptic devices. A performance enhancement methodology and optimized operation scheme to achieve analog resistive switching and low-energy training behavior are provided. A three-dimensional vertical synapse network architecture is proposed for high-density integration and low-cost fabrication. The impacts of the ReRAM synaptic device features on the performances of neuromorphic systems are also discussed on the basis of a constructed neuromorphic visual system with a pattern recognition function. Possible solutions to achieve the high recognition accuracy and efficiency of neuromorphic systems are presented.

  4. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.; Duran Retamal, Jose Ramon; Yang, P. K.; Lee, C. P.; Tsai, M. L.; Kang, C. F.; He, Jr-Hau

    2017-01-01

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance

  5. Shape memory polymer medical device

    Science.gov (United States)

    Maitland, Duncan [Pleasant Hill, CA; Benett, William J [Livermore, CA; Bearinger, Jane P [Livermore, CA; Wilson, Thomas S [San Leandro, CA; Small, IV, Ward; Schumann, Daniel L [Concord, CA; Jensen, Wayne A [Livermore, CA; Ortega, Jason M [Pacifica, CA; Marion, III, John E.; Loge, Jeffrey M [Stockton, CA

    2010-06-29

    A system for removing matter from a conduit. The system includes the steps of passing a transport vehicle and a shape memory polymer material through the conduit, transmitting energy to the shape memory polymer material for moving the shape memory polymer material from a first shape to a second and different shape, and withdrawing the transport vehicle and the shape memory polymer material through the conduit carrying the matter.

  6. Electric Field Tuning Non-volatile Magnetism in Half-Metallic Alloys Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 Heterostructure

    Science.gov (United States)

    Dunzhu, Gesang; Wang, Fenglong; Zhou, Cai; Jiang, Changjun

    2018-03-01

    We reported the non-volatile electric field-mediated magnetic properties in the half-metallic Heusler alloy Co2FeAl/Pb(Mg1/3Nb2/3)O3-PbTiO3 heterostructure at room temperature. The remanent magnetization with different applied electric field along [100] and [01-1] directions was achieved, which showed the non-volatile remanent magnetization driven by an electric field. The two giant reversible and stable remanent magnetization states were obtained by applying pulsed electric field. This can be attributed to the piezostrain effect originating from the piezoelectric substrate, which can be used for magnetoelectric-based memory devices.

  7. A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories

    Science.gov (United States)

    Nakamura, Kazuyuki; Sasao, Tsutomu; Matsuura, Munehiro; Tanaka, Katsumasa; Yoshizumi, Kenichi; Nakahara, Hiroki; Iguchi, Yukihiro

    2006-04-01

    A large-scale memory-technology-based programmable logic device (PLD) using a look-up table (LUT) cascade is developed in the 0.35-μm standard complementary metal oxide semiconductor (CMOS) logic process. Eight 64 K-bit synchronous SRAMs are connected to form an LUT cascade with a few additional circuits. The features of the LUT cascade include: 1) a flexible cascade connection structure, 2) multi phase pseudo asynchronous operations with synchronous static random access memory (SRAM) cores, and 3) LUT-bypass redundancy. This chip operates at 33 MHz in 8-LUT cascades at 122 mW. Benchmark results show that it achieves a comparable performance to field programmable gate array (FPGAs).

  8. Magnetic Shape Memory Alloys as smart materials for micro-positioning devices

    Directory of Open Access Journals (Sweden)

    A. Hubert

    2012-10-01

    Full Text Available In the field of microrobotics, actuators based on smart materials are predominant because of very good precision, integration capabilities and high compactness. This paper presents the main characteristics of Magnetic Shape Memory Alloys as new candidates for the design of micromechatronic devices. The thermo-magneto-mechanical energy conversion process is first presented followed by the adequate modeling procedure required to design actuators. Finally, some actuators prototypes realized at the Femto-ST institute are presented, including a push-pull bidirectional actuator. Some results on the control and performances of these devices conclude the paper.

  9. Wearable Intrinsically Soft, Stretchable, Flexible Devices for Memories and Computing.

    Science.gov (United States)

    Rajan, Krishna; Garofalo, Erik; Chiolerio, Alessandro

    2018-01-27

    A recent trend in the development of high mass consumption electron devices is towards electronic textiles (e-textiles), smart wearable devices, smart clothes, and flexible or printable electronics. Intrinsically soft, stretchable, flexible, Wearable Memories and Computing devices (WMCs) bring us closer to sci-fi scenarios, where future electronic systems are totally integrated in our everyday outfits and help us in achieving a higher comfort level, interacting for us with other digital devices such as smartphones and domotics, or with analog devices, such as our brain/peripheral nervous system. WMC will enable each of us to contribute to open and big data systems as individual nodes, providing real-time information about physical and environmental parameters (including air pollution monitoring, sound and light pollution, chemical or radioactive fallout alert, network availability, and so on). Furthermore, WMC could be directly connected to human brain and enable extremely fast operation and unprecedented interface complexity, directly mapping the continuous states available to biological systems. This review focuses on recent advances in nanotechnology and materials science and pays particular attention to any result and promising technology to enable intrinsically soft, stretchable, flexible WMC.

  10. Scalability of voltage-controlled filamentary and nanometallic resistance memory devices.

    Science.gov (United States)

    Lu, Yang; Lee, Jong Ho; Chen, I-Wei

    2017-08-31

    Much effort has been devoted to device and materials engineering to realize nanoscale resistance random access memory (RRAM) for practical applications, but a rational physical basis to be relied on to design scalable devices spanning many length scales is still lacking. In particular, there is no clear criterion for switching control in those RRAM devices in which resistance changes are limited to localized nanoscale filaments that experience concentrated heat, electric current and field. Here, we demonstrate voltage-controlled resistance switching, always at a constant characteristic critical voltage, for macro and nanodevices in both filamentary RRAM and nanometallic RRAM, and the latter switches uniformly and does not require a forming process. As a result, area-scalability can be achieved under a device-area-proportional current compliance for the low resistance state of the filamentary RRAM, and for both the low and high resistance states of the nanometallic RRAM. This finding will help design area-scalable RRAM at the nanoscale. It also establishes an analogy between RRAM and synapses, in which signal transmission is also voltage-controlled.

  11. Modeling and Forecasting the Implied Volatility of the WIG20 Index

    OpenAIRE

    Buszkowska-Khemissi, Eliza; Płuciennik, Piotr

    2007-01-01

    The implied volatility is one of the most important notions in the financial market. It informs about the volatility forecasted by the participans of the market. In this paper we calculate the daily implied volatility from options on the WIG20 index. First we test the long memory property of the time series obtained in such a way, and then we model and forcast it as ARFIMA process

  12. Numerical analysis of a polysilicon-based resistive memory device

    KAUST Repository

    Berco, Dan

    2018-03-08

    This study investigates a conductive bridge resistive memory device based on a Cu top electrode, 10-nm polysilicon resistive switching layer and a TiN bottom electrode, by numerical analysis for $$10^{3}$$103 programming and erase simulation cycles. The low and high resistive state values in each cycle are calculated, and the analysis shows that the structure has excellent retention reliability properties. The presented Cu species density plot indicates that Cu insertion occurs almost exclusively along grain boundaries resulting in a confined isomorphic conductive filament that maintains its overall shape and electric properties during cycling. The superior reliability of this structure may thus be attributed to the relatively low amount of Cu migrating into the RSL during initial formation. In addition, the results show a good match and help to confirm experimental measurements done over a previously demonstrated device.

  13. Testing for long memory in potentially nonstationary perturbed fractional processes

    DEFF Research Database (Denmark)

    Nielsen, Frank; Frederiksen, Per S.

    ¤er simulation results that show good size properties of the tests, with power against spurious long memory. An empirical study of daily log-squared returns series of exchange rates and DJIA30 stocks shows that indeed there is long memory in exchange rate volatility and stock return volatility....

  14. Fractional Black–Scholes option pricing, volatility calibration and implied Hurst exponents in South African context

    Directory of Open Access Journals (Sweden)

    Emlyn Flint

    2017-03-01

    Full Text Available Background: Contingent claims on underlying assets are typically priced under a framework that assumes, inter alia, that the log returns of the underlying asset are normally distributed. However, many researchers have shown that this assumption is violated in practice. Such violations include the statistical properties of heavy tails, volatility clustering, leptokurtosis and long memory. This paper considers the pricing of contingent claims when the underlying is assumed to display long memory, an issue that has heretofore not received much attention. Aim: We address several theoretical and practical issues in option pricing and implied volatility calibration in a fractional Black–Scholes market. We introduce a novel eight-parameter fractional Black–Scholes-inspired (FBSI model for the implied volatility surface, and consider in depth the issue of calibration. One of the main benefits of such a model is that it allows one to decompose implied volatility into an independent long-memory component – captured by an implied Hurst exponent – and a conditional implied volatility component. Such a decomposition has useful applications in the areas of derivatives trading, risk management, delta hedging and dynamic asset allocation. Setting: The proposed FBSI volatility model is calibrated to South African equity index options data as well as South African Rand/American Dollar currency options data. However, given the focus on the theoretical development of the model, the results in this paper are applicable across all financial markets. Methods: The FBSI model essentially combines a deterministic function form of the 1-year implied volatility skew with a separate deterministic function for the implied Hurst exponent, thus allowing one to model both observed implied volatility surfaces as well as decompose them into independent volatility and long-memory components respectively. Calibration of the model makes use of a quasi-explicit weighted

  15. Rare-Earth Ions in Niobium-Based Devices as a Quantum Memory: Magneto-Optical Effects on Room Temperature Electrical Transport

    Science.gov (United States)

    2016-09-01

    heterostructure can be used to implement cryogenic memory for superconducting digital computing. Our concept involves embedding rare-earth ions in...rare-earth neodymium by ion implantation in thin films of niobium and niobium-based heterostructure devices. We model the ion implantation process...the films and devices so they can properly designed and optimized for utility as quantum memory. We find that the magnetic field has a strong effect

  16. Systematic Development Strategy for Smart Devices Based on Shape-Memory Polymers

    Directory of Open Access Journals (Sweden)

    Andrés Díaz Lantada

    2017-10-01

    Full Text Available Shape-memory polymers are outstanding “smart” materials, which can perform important geometrical changes, when activated by several types of external stimuli, and which can be applied to several emerging engineering fields, from aerospace applications, to the development of biomedical devices. The fact that several shape-memory polymers can be structured in an additive way is an especially noteworthy advantage, as the development of advanced actuators with complex geometries for improved performance can be achieved, if adequate design and manufacturing considerations are taken into consideration. Present study presents a review of challenges and good practices, leading to a straightforward methodology (or integration of strategies, for the development of “smart” actuators based on shape-memory polymers. The combination of computer-aided design, computer-aided engineering and additive manufacturing technologies is analyzed and applied to the complete development of interesting shape-memory polymer-based actuators. Aspects such as geometrical design and optimization, development of the activation system, selection of the adequate materials and related manufacturing technologies, training of the shape-memory effect, final integration and testing are considered, as key processes of the methodology. Current trends, including the use of low-cost 3D and 4D printing, and main challenges, including process eco-efficiency and biocompatibility, are also discussed and their impact on the proposed methodology is considered.

  17. High performance electrical, magnetic, electromagnetic and electrooptical devices enabled by three dimensionally ordered nanodots and nanorods

    Science.gov (United States)

    Goyal, Amit , Kang; Sukill, [Knoxville, TN

    2012-02-21

    Novel articles and methods to fabricate same with self-assembled nanodots and/or nanorods of a single or multicomponent material within another single or multicomponent material for use in electrical, electronic, magnetic, electromagnetic and electrooptical devices is disclosed. Self-assembled nanodots and/or nanorods are ordered arrays wherein ordering occurs due to strain minimization during growth of the materials. A simple method to accomplish this when depositing in-situ films is also disclosed. Device applications of resulting materials are in areas of superconductivity, photovoltaics, ferroelectrics, magnetoresistance, high density storage, solid state lighting, non-volatile memory, photoluminescence, thermoelectrics and in quantum dot lasers.

  18. All-polymer bistable resistive memory device based on nanoscale phase-separated PCBM-ferroelectric blends

    KAUST Repository

    Khan, Yasser; Bhansali, Unnat Sampatraj; Cha, Dong Kyu; Alshareef, Husam N.

    2012-01-01

    All polymer nonvolatile bistable memory devices are fabricated from blends of ferroelectric poly(vinylidenefluoride-trifluoroethylene (P(VDF-TrFE)) and n-type semiconducting [6,6]-phenyl-C61-butyric acid methyl ester (PCBM). The nanoscale phase

  19. Selected Advances in Nanoelectronic Devices Logic, Memory and RF

    CERN Document Server

    Joodaki, Mojtaba

    2013-01-01

    Nanoelectronics, as a true successor of microelectronics, is certainly a major technology boomer in the 21st century. This has been shown by its several applications and also by its enormous potential to influence all areas of electronics, computers, information technology, aerospace defense, and consumer goods. Although the current semiconductor technology is projected to reach its physical limit in about a decade, nanoscience and nanotechnology promise breakthroughs for the future. The present books provides an in-depth review of the latest advances in the technology of nanoelectronic devices and their developments over the past decades. Moreover, it introduces new concepts for the realization of future nanoelectronic devices. The main focus of the book is on three fundamental branches of semiconductor products or applications: logic, memory, and RF and communication. By pointing out to the key technical challenges, important aspects and characteristics of various designs are used to illustrate mechanisms t...

  20. Anisotropic sensor and memory device with a ferromagnetic tunnel barrier as the only magnetic element.

    Science.gov (United States)

    Lόpez-Mir, L; Frontera, C; Aramberri, H; Bouzehouane, K; Cisneros-Fernández, J; Bozzo, B; Balcells, L; Martínez, B

    2018-01-16

    Multiple spin functionalities are probed on Pt/La 2 Co 0.8 Mn 1.2 O 6 /Nb:SrTiO 3 , a device composed by a ferromagnetic insulating barrier sandwiched between non-magnetic electrodes. Uniquely, La 2 Co 0.8 Mn 1.2 O 6 thin films present strong perpendicular magnetic anisotropy of magnetocrystalline origin, property of major interest for spintronics. The junction has an estimated spin-filtering efficiency of 99.7% and tunneling anisotropic magnetoresistance (TAMR) values up to 30% at low temperatures. This remarkable angular dependence of the magnetoresistance is associated with the magnetic anisotropy whose origin lies in the large spin-orbit interaction of Co 2+ which is additionally tuned by the strain of the crystal lattice. Furthermore, we found that the junction can operate as an electrically readable magnetic memory device. The findings of this work demonstrate that a single ferromagnetic insulating barrier with strong magnetocrystalline anisotropy is sufficient for realizing sensor and memory functionalities in a tunneling device based on TAMR.

  1. Resistive Memory Devices for Radiation Resistant Non-Volatile Memory

    Data.gov (United States)

    National Aeronautics and Space Administration — Ionizing radiation in space can damage electronic equipment, corrupting data and even disabling computers. Radiation resistant (rad hard) strategies must be employed...

  2. Material Engineering for Phase Change Memory

    Science.gov (United States)

    Cabrera, David M.

    As semiconductor devices continue to scale downward, and portable consumer electronics become more prevalent there is a need to develop memory technology that will scale with devices and use less energy, while maintaining performance. One of the leading prototypical memories that is being investigated is phase change memory. Phase change memory (PCM) is a non-volatile memory composed of 1 transistor and 1 resistor. The resistive structure includes a memory material alloy which can change between amorphous and crystalline states repeatedly using current/voltage pulses of different lengths and magnitudes. The most widely studied PCM materials are chalcogenides - Germanium-Antimony-Tellerium (GST) with Ge2Sb2Te3 and Germanium-Tellerium (GeTe) being some of the most popular stochiometries. As these cells are scaled downward, the current/voltage needed to switch these materials becomes comparable to the voltage needed to sense the cell's state. The International Roadmap for Semiconductors aims to raise the threshold field of these devices from 66.6 V/mum to be at least 375 V/mum for the year 2024. These cells are also prone to resistance drift between states, leading to bit corruption and memory loss. Phase change material properties are known to influence PCM device performance such as crystallization temperature having an effect on data retention and litetime, while resistivity values in the amorphous and crystalline phases have an effect on the current/voltage needed to write/erase the cell. Addition of dopants is also known to modify the phase change material parameters. The materials G2S2T5, GeTe, with dopants - nitrogen, silicon, titanium, and aluminum oxide and undoped Gallium-Antimonide (GaSb) are studied for these desired characteristics. Thin films of these compositions are deposited via physical vapor deposition at IBM Watson Research Center. Crystallization temperatures are investigated using time resolved x-ray diffraction at Brookhaven National Laboratory

  3. Multistate storage nonvolatile memory device based on ferroelectricity and resistive switching effects of SrBi2Ta2O9 films

    Science.gov (United States)

    Song, Zhiwei; Li, Gang; Xiong, Ying; Cheng, Chuanpin; Zhang, Wanli; Tang, Minghua; Li, Zheng; He, Jiangheng

    2018-05-01

    A memory device with a Pt/SrBi2Ta2O9(SBT)/Pt(111) structure was shown to have excellent combined ferroelectricity and resistive switching properties, leading to higher multistate storage memory capacity in contrast to ferroelectric memory devices. In this device, SBT polycrystalline thin films with significant (115) orientation were fabricated on Pt(111)/Ti/SiO2/Si(100) substrates using CVD (chemical vapor deposition) method. Measurement results of the electric properties exhibit reproducible and reliable ferroelectricity switching behavior and bipolar resistive switching effects (BRS) without an electroforming process. The ON/OFF ratio of the resistive switching was found to be about 103. Switching mechanisms for the low resistance state (LRS) and high resistance state (HRS) currents are likely attributed to the Ohmic and space charge-limited current (SCLC) behavior, respectively. Moreover, the ferroelectricity and resistive switching effects were found to be mutually independent, and the four logic states were obtained by controlling the periodic sweeping voltage. This work holds great promise for nonvolatile multistate memory devices with high capacity and low cost.

  4. Exploration of Uninitialized Configuration Memory Space for Intrinsic Identification of Xilinx Virtex-5 FPGA Devices

    Directory of Open Access Journals (Sweden)

    Oliver Sander

    2012-01-01

    Full Text Available SRAM-based fingerprinting uses deviations in power-up behaviour caused by the CMOS fabrication process to identify distinct devices. This method is a promising technique for unique identification of physical devices. In the case of SRAM-based hardware reconfigurable devices such as FPGAs, the integrated SRAM cells are often initialized automatically at power-up, sweeping potential identification data. We demonstrate an approach to utilize unused parts of configuration memory space for device identification. Based on a total of over 200,000 measurements on nine Xilinx Virtex-5 FPGAs, we show that the retrieved values have promising properties with respect to consistency on one device, variety between different devices, and stability considering temperature variation and aging.

  5. Multiscaling and clustering of volatility

    Science.gov (United States)

    Pasquini, Michele; Serva, Maurizio

    1999-07-01

    The dynamics of prices in stock markets has been studied intensively both experimentally (data analysis) and theoretically (models). Nevertheless, while the distribution of returns of the most important indices is known to be a truncated Lévy, the behaviour of volatility correlations is still poorly understood. What is well known is that absolute returns have memory on a long time range, this phenomenon is known in financial literature as clustering of volatility. In this paper we show that volatility correlations are power laws with a non-unique scaling exponent. This kind of multiscale phenomenology is known to be relevant in fully developed turbulence and in disordered systems and it is pointed out here for the first time for a financial series. In our study we consider the New York Stock Exchange (NYSE) daily index, from January 1966 to June 1998, for a total of 8180 working days.

  6. Parasitic resistive switching uncovered from complementary resistive switching in single active-layer oxide memory device

    Science.gov (United States)

    Zhu, Lisha; Hu, Wei; Gao, Chao; Guo, Yongcai

    2017-12-01

    This paper reports the reversible transition processes between the bipolar and complementary resistive switching (CRS) characteristics on the binary metal-oxide resistive memory devices of Pt/HfO x /TiN and Pt/TaO x /TiN by applying the appropriate bias voltages. More interestingly, by controlling the amplitude of the negative bias, the parasitic resistive switching effect exhibiting repeatable switching behavior is uncovered from the CRS behavior. The electrical observation of the parasitic resistive switching effect can be explained by the controlled size of the conductive filament. This work confirms the transformation and interrelationship among the bipolar, parasitic, and CRS effects, and thus provides new insight into the understanding of the physical mechanism of the binary metal-oxide resistive switching memory devices.

  7. Fabrication of Pb (Zr, Ti) O3 Thin Film for Non-Volatile Memory Device Application

    International Nuclear Information System (INIS)

    Mar Lar Win

    2011-12-01

    Ferroelectric lead zirconate titanate powder was composed of mainly the oxides of titanium, zirconium and lead. PZT powder was firstly prepared by thermal synthesis at different Zr/Ti ratios with various sintering temperatures. PZT thin film was fabricated on SiO2/Si substrate by using thermal evaporation method. Physical and elemental analysis were carried out by using SEM, EDX and XRD The ferroelectric properties and the switching behaviour of the PZT thin films were investigated. The ferroelectric properties and switching properties of the PZT thin film (near morphotropic phase boundary sintered at 800 C) could function as a nonvolatile memory.

  8. Highly scalable 3-D NAND-NOR hybrid-type dual bit per cell flash memory devices with an additional cut-off gate

    International Nuclear Information System (INIS)

    Cho, Seongjae; Shim, Wonbo; Park, Ilhan; Kim, Yoon; Park, Byunggook

    2010-01-01

    In this work, a nonvolatile memory (NVM) device of novel structure in 3 dimensions is introduced, and its operation physics is validated. It is based on a pillar structure in which two identical storage nodes are located for dual-bit operation. The two storage nodes on neighboring pillars are controlled by using one common control gate so that the space between silicon pillars can be further reduced. For compatibility with conventional memory operations, an additional cut-off gate is constructed under the common control gate. This is considered as the ultimate form for a 3-D nonvolatile memory device based on a double-gate structure. The underlying physics is explained, and the operational schemes are validated in various aspects by using a numerical device simulation. Also, critical issues in device design for higher reliability are discussed.

  9. Supercritical fluid extraction-gas chromatography of volatile organic compounds (VOC) from Tenax devices. Final report, November 1985-September 1986

    International Nuclear Information System (INIS)

    Wright, B.W.; Kopriva, A.J.; Smith, R.D.

    1987-11-01

    This report describes the development and evaluation of on-line supercritical-fluid extraction - gas-chromatography instrumentation and methodology for the analysis of volatile organic compounds (VOC) from adsorbent sampling devices. Supercritical fluid extraction offers potential advantages for the removal and transport of organic components from adsorbent matrices including rapid and efficient extraction at mild temperatures. Extraction at mild temperatures eliminates potential problems such as analyte decomposition that can be encountered with the high temperatures needed for thermal desorption analysis. Since a major objective of the study was to develop viable instrumentation and methodology, a relatively detailed description of the instrumentation design requirements and present limitations are discussed. The results of several series of methodology validation studies are also presented. These studies included recovery studies of model VOC spiked on three types of Tenax sampling devices including authentic actively pumped (VOST) and passive (EPA) devices. Replicate devices spiked in an exposure chamber were also subjected to parallel analyses using the new methodology and traditional thermal-desorption gas chromatography

  10. Magnetic Resonance Flow Velocity and Temperature Mapping of a Shape Memory Polymer Foam Device

    Energy Technology Data Exchange (ETDEWEB)

    Small IV, W; Gjersing, E; Herberg, J L; Wilson, T S; Maitland, D J

    2008-10-29

    Interventional medical devices based on thermally responsive shape memory polymer (SMP) are under development to treat stroke victims. The goals of these catheter-delivered devices include re-establishing blood flow in occluded arteries and preventing aneurysm rupture. Because these devices alter the hemodynamics and dissipate thermal energy during the therapeutic procedure, a first step in the device development process is to investigate fluid velocity and temperature changes following device deployment. A laser-heated SMP foam device was deployed in a simplified in vitro vascular model. Magnetic resonance imaging (MRI) techniques were used to assess the fluid dynamics and thermal changes associated with device deployment. Spatial maps of the steady-state fluid velocity and temperature change inside and outside the laser-heated SMP foam device were acquired. Though non-physiological conditions were used in this initial study, the utility of MRI in the development of a thermally-activated SMP foam device has been demonstrated.

  11. Logic and memory concepts for all-magnetic computing based on transverse domain walls

    International Nuclear Information System (INIS)

    Vandermeulen, J; Van de Wiele, B; Dupré, L; Van Waeyenberge, B

    2015-01-01

    We introduce a non-volatile digital logic and memory concept in which the binary data is stored in the transverse magnetic domain walls present in in-plane magnetized nanowires with sufficiently small cross sectional dimensions. We assign the digital bit to the two possible orientations of the transverse domain wall. Numerical proofs-of-concept are presented for a NOT-, AND- and OR-gate, a FAN-out as well as a reading and writing device. Contrary to the chirality based vortex domain wall logic gates introduced in Omari and Hayward (2014 Phys. Rev. Appl. 2 044001), the presented concepts remain applicable when miniaturized and are driven by electrical currents, making the technology compatible with the in-plane racetrack memory concept. The individual devices can be easily combined to logic networks working with clock speeds that scale linearly with decreasing design dimensions. This opens opportunities to an all-magnetic computing technology where the digital data is stored and processed under the same magnetic representation. (paper)

  12. An ultra-low-power area-efficient non-volatile memory in a 0.18 μm single-poly CMOS process for passive RFID tags

    International Nuclear Information System (INIS)

    Jia Xiaoyun; Feng Peng; Zhang Shengguang; Wu Nanjian; Zhao Baiqin; Liu Su

    2013-01-01

    This paper presents an ultra-low-power area-efficient non-volatile memory (NVM) in a 0.18 μm single-poly standard CMOS process for passive radio frequency identification (RFID) tags. In the memory cell, a novel low-power operation method is proposed to realize bi-directional Fowler—Nordheim tunneling during write operation. Furthermore, the cell is designed with PMOS transistors and coupling capacitors to minimize its area. In order to improve its reliability, the cell consists of double floating gates to store the data, and the 1 kbit NVM was implemented in a 0.18 μm single-poly standard CMOS process. The area of the memory cell and 1 kbit memory array is 96 μm 2 and 0.12 mm 2 , respectively. The measured results indicate that the program/erase voltage ranges from 5 to 6 V The power consumption of the read/write operation is 0.19 μW/0.69 μW at a read/write rate of (268 kb/s)/(3.0 kb/s). (semiconductor integrated circuits)

  13. The influence of Ti doping and annealing on Ce{sub 2}Ti{sub 2}O{sub 7} flash memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Kao, Chyuan Haur [Department of Electronic Engineering, Chang Gung University, No. 259, Wenhua 1st Rd., Guishan Dist., Taoyuan City 33302, Taiwan, ROC (China); Kidney Research Center, Department of Nephrology, Chang Gung Memorial Hospital, Chang Gung University, College of Medicine, Taoyuan, Taiwan, ROC (China); Department of Electronic Engineering, Ming Chi University of Technology, Taiwan, ROC (China); Chen, Su Zhien [Department of Electronic Engineering, Chang Gung University, No. 259, Wenhua 1st Rd., Guishan Dist., Taoyuan City 33302, Taiwan, ROC (China); Kidney Research Center, Department of Nephrology, Chang Gung Memorial Hospital, Chang Gung University, College of Medicine, Taoyuan, Taiwan, ROC (China); Luo, Yang; Chiu, Wang Ting; Chiu, Shih Wei; Chen, I Chien [Department of Applied Materials and Optoelectronic Engineering, National Chi Nan University, No. 1, University Rd., Puli, Nantou Country 54561, Taiwan, ROC (China); Lin, Chan-Yu [Kidney Research Center, Department of Nephrology, Chang Gung Memorial Hospital, Chang Gung University, College of Medicine, Taoyuan, Taiwan, ROC (China); Chen, Hsiang, E-mail: hchen@ncnu.edu.tw [Department of Applied Materials and Optoelectronic Engineering, National Chi Nan University, No. 1, University Rd., Puli, Nantou Country 54561, Taiwan, ROC (China)

    2017-02-28

    Highlights: • Ce{sub 2}Ti{sub 2}O{sub 7} flash memories have been fabricated. • Material quality can be improved by annealing. • The memory performance can be enhanced by Ti doping. • Ti doping and annealing can reinforce crystallization. - Abstract: In this research, a CeO{sub 2} film with Ti doping was used as a trapping layer in metal oxide high-K-oxide-Si (MOHOS)-type memory devices. Since incorporation of Ti atoms into the film could fix dangling bonds and defects, the Ce{sub 2}Ti{sub 2}O{sub 7} trapping layer with annealing treatment could have a larger memory window and a faster programming/erasing speed. To confirm the origin, multiple material analyses indicate that annealing at an appropriate temperature and Ti doping could enhance crystallization. The Ce{sub 2}Ti{sub 2}O{sub 7}-based memory device is promising for future industrial flash memory applications.

  14. Decoupling the short- and long-term behavior of stochastic volatility

    DEFF Research Database (Denmark)

    Bennedsen, Mikkel; Lunde, Asger; Pakkanen, Mikko

    behavior) from long memory and persistence (long-term behavior) in a simple and parsimonious way, which allows us to successfully model volatility at all intraday time scales. Our prime model is based on the so-called Brownian semistationary process and we derive a number of theoretical properties...... measures of close to two thousand individual US equities, we find that both roughness and persistence appear to be universal properties of volatility. Inspired by the empirical findings, we introduce a new class of continuous-time stochastic volatility models, capable of decoupling roughness (short-term...

  15. A bio-inspired memory device based on interfacing Physarum polycephalum with an organic semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Romeo, Agostino; Dimonte, Alice; Tarabella, Giuseppe; D’Angelo, Pasquale, E-mail: dangelo@imem.cnr.it, E-mail: iannotta@imem.cnr.it; Erokhin, Victor; Iannotta, Salvatore, E-mail: dangelo@imem.cnr.it, E-mail: iannotta@imem.cnr.it [IMEM-CNR, Institute of Materials for Electronics and Magnetism-National Research Council, Parma 43124 (Italy)

    2015-01-01

    The development of devices able to detect and record ion fluxes is a crucial point in order to understand the mechanisms that regulate communication and life of organisms. Here, we take advantage of the combined electronic and ionic conduction properties of a conducting polymer to develop a hybrid organic/living device with a three-terminal configuration, using the Physarum polycephalum Cell (PPC) slime mould as a living bio-electrolyte. An over-oxidation process induces a conductivity switch in the polymer, due to the ionic flux taking place at the PPC/polymer interface. This behaviour endows a current-depending memory effect to the device.

  16. Nonvolatile organic write-once-read-many-times memory devices based on hexadecafluoro-copper-phthalocyanine

    Science.gov (United States)

    Wang, Lidan; Su, Zisheng; Wang, Cheng

    2012-05-01

    Nonvolatile organic write-once-read-many-times memory device was demonstrated based on hexadecafluoro-copper-phthalocyanine (F16CuPc) single layer sandwiched between indium tin oxide (ITO) anode and Al cathode. The as fabricated device remains in ON state and it can be tuned to OFF state by applying a reverse bias. The ON/OFF current ratio of the device can reach up to 2.3 × 103. Simultaneously, the device shows long-term storage stability and long retention time in air. The ON/OFF transition is attributed to the formation and destruction of the interfacial dipole layer in the ITO/F16CuPc interface, and such a mechanism is different from previously reported ones.

  17. Long memory persistence in the factor of Implied volatility dynamics

    OpenAIRE

    Härdle, Wolfgang Karl; Mungo, Julius

    2007-01-01

    The volatility implied by observed market prices as a function of the strike and time to maturity form an Implied Volatility Surface (IV S). Practical applications require reducing the dimension and characterize its dynamics through a small number of factors. Such dimension reduction is summarized by a Dynamic Semiparametric Factor Model (DSFM) that characterizes the IV S itself and their movements across time by a multivariate time series of factor loadings. This paper focuses on investigati...

  18. Multiferroic Memories

    Directory of Open Access Journals (Sweden)

    Amritendu Roy

    2012-01-01

    Full Text Available Multiferroism implies simultaneous presence of more than one ferroic characteristics such as coexistence of ferroelectric and magnetic ordering. This phenomenon has led to the development of various kinds of materials and conceptions of many novel applications such as development of a memory device utilizing the multifunctionality of the multiferroic materials leading to a multistate memory device with electrical writing and nondestructive magnetic reading operations. Though, interdependence of electrical- and magnetic-order parameters makes it difficult to accomplish the above and thus rendering the device to only two switchable states, recent research has shown that such problems can be circumvented by novel device designs such as formation of tunnel junction or by use of exchange bias. In this paper, we review the operational aspects of multiferroic memories as well as the materials used for these applications along with the designs that hold promise for the future memory devices.

  19. Simulation of trapping properties of high κ material as the charge storage layer for flash memory application

    International Nuclear Information System (INIS)

    Yeo, Yee Ngee; Wang Yingqian; Samanta, Santanu Kumar; Yoo, Won Jong; Samudra, Ganesh; Gao, Dongyue; Chong, Chee Ching

    2006-01-01

    We investigated the trapping properties of high κ material as the charge storage layer in non-volatile flash memory devices using a two-dimensional device simulator, Medici. The high κ material is sandwiched between two silicon oxide layers, resulting in the Silicon-Oxide-High κ-Oxide-Silicon (SOHOS) structure. The trap energy levels of the bulk electron traps in high κ material were determined. The programming and erasing voltage and time using Fowler Nordheim tunneling were estimated by simulation. The effect of deep level traps on erasing was investigated. Also, the effect of bulk traps density, thickness of block oxide and thickness of high κ material on the threshold voltage of the device was simulated

  20. Switching dynamics of TaOx-based threshold switching devices

    Science.gov (United States)

    Goodwill, Jonathan M.; Gala, Darshil K.; Bain, James A.; Skowronski, Marek

    2018-03-01

    Bi-stable volatile switching devices are being used as access devices in solid-state memory arrays and as the active part of compact oscillators. Such structures exhibit two stable states of resistance and switch between them at a critical value of voltage or current. A typical resistance transient under a constant amplitude voltage pulse starts with a slow decrease followed by a rapid drop and leveling off at a low steady state value. This behavior prompted the interpretation of initial delay and fast transition as due to two different processes. Here, we show that the entire transient including incubation time, transition time, and the final resistance values in TaOx-based switching can be explained by one process, namely, Joule heating with the rapid transition due to the thermal runaway. The time, which is required for the device in the conducting state to relax back to the stable high resistance one, is also consistent with the proposed mechanism.

  1. Microwave impedance imaging on semiconductor memory devices

    Science.gov (United States)

    Kundhikanjana, Worasom; Lai, Keji; Yang, Yongliang; Kelly, Michael; Shen, Zhi-Xun

    2011-03-01

    Microwave impedance microscopy (MIM) maps out the real and imaginary components of the tip-sample impedance, from which the local conductivity and dielectric constant distribution can be derived. The stray field contribution is minimized in our shielded cantilever design, enabling quantitative analysis of nano-materials and device structures. We demonstrate here that the MIM can spatially resolve the conductivity variation in a dynamic random access memory (DRAM) sample. With DC or low-frequency AC bias applied to the tip, contrast between n-doped and p-doped regions in the dC/dV images is observed, and p-n junctions are highlighted in the dR/dV images. The results can be directly compared with data taken by scanning capacitance microscope (SCM), which uses unshielded cantilevers and resonant electronics, and the MIM reveals more information of the local dopant concentration than SCM.

  2. New device for time-averaged measurement of volatile organic compounds (VOCs)

    Energy Technology Data Exchange (ETDEWEB)

    Santiago Sánchez, Noemí; Tejada Alarcón, Sergio; Tortajada Santonja, Rafael; Llorca-Pórcel, Julio, E-mail: julio.llorca@aqualogy.net

    2014-07-01

    Contamination by volatile organic compounds (VOCs) in the environment is an increasing concern since these compounds are harmful to ecosystems and even to human health. Actually, many of them are considered toxic and/or carcinogenic. The main sources of pollution come from very diffuse focal points such as industrial discharges, urban water and accidental spills as these compounds may be present in many products and processes (i.e., paints, fuels, petroleum products, raw materials, solvents, etc.) making their control difficult. The presence of these compounds in groundwater, influenced by discharges, leachate or effluents of WWTPs is especially problematic. In recent years, law has been increasingly restrictive with the emissions of these compounds. From an environmental point of view, the European Water Framework Directive (2000/60/EC) sets out some VOCs as priority substances. This binding directive sets guidelines to control compounds such as benzene, chloroform, and carbon tetrachloride to be at a very low level of concentration and with a very high frequency of analysis. The presence of VOCs in the various effluents is often highly variable and discontinuous since it depends on the variability of the sources of contamination. Therefore, in order to have complete information of the presence of these contaminants and to effectively take preventive measures, it is important to continuously control, requiring the development of new devices which obtain average concentrations over time. As of today, due to technical limitations, there are no devices on the market that allow continuous sampling of these compounds in an efficient way and to facilitate sufficient detection limits to meet the legal requirements which are capable of detecting very sporadic and of short duration discharges. LABAQUA has developed a device which consists of a small peristaltic pump controlled by an electronic board that governs its operation by pre-programming. A constant flow passes

  3. Radiation Damage in Electronic Memory Devices

    OpenAIRE

    Fetahović, Irfan; Pejović, Milić; Vujisić, Miloš

    2013-01-01

    This paper investigates the behavior of semiconductor memories exposed to radiation in order to establish their applicability in a radiation environment. The experimental procedure has been used to test radiation hardness of commercial semiconductor memories. Different types of memory chips have been exposed to indirect ionizing radiation by changing radiation dose intensity. The effect of direct ionizing radiation on semiconductor memory behavior has been analyzed by using Monte Carlo simula...

  4. Organic ferroelectric memory devices with inkjet-printed polymer electrodes on flexible substrates

    KAUST Repository

    Bhansali, Unnat Sampatraj

    2013-05-01

    Drop-on-demand piezoelectric inkjet-printing technique has been used to fabricate a functional cross-bar array of all-organic ferroelectric memory devices. The polymer-ferroelectric-polymer device consists of a ferroelectric copolymer P(VDF-TrFE) film sandwiched between inkjet-patterned, continuous, orthogonal lines of PEDOT:PSS polymer as the bottom and top electrodes. These devices exhibit well-saturated hysteresis curves with a maximum remnant polarization (Pr) = 6.7 μC/cm2, coercive field (E c) = 55 MV/m and a peak capacitance density of 45 nF/cm2. Our polarization fatigue measurements show that these devices retain ∼100% and 45% of their initial Pr values after 103 and 10 5 stress cycles, respectively. The overall performance and polarization retention characteristics of these ferroelectric capacitors with inkjet-printed polymer electrodes are comparable to metal and spin-cast polymer electrodes suggesting their potential use in large-area flexible electronics. © 2013 Elsevier Ltd. All rights reserved.

  5. The memory effect of a pentacene field-effect transistor with a polarizable gate dielectric

    Science.gov (United States)

    Unni, K. N. N.; de Bettignies, Remi; Dabos-Seignon, Sylvie; Nunzi, Jean-Michel

    2004-06-01

    The nonvolatile transistor memory element is an interesting topic in organic electronics. In this case a memory cell consists of only one device where the stored information is written as a gate insulator polarization by a gate voltage pulse and read by the channel conductance control with channel voltage pulse without destruction of the stored information. Therefore such transistor could be the base of non-volatile non-destructively readable computer memory of extremely high density. Also devices with polarizable gate dielectrics can function more effectively in certain circuits. The effective threshold voltage Vt can be brought very close to zero, for applications where the available gate voltage is limited. Resonant and adaptive circuits can be tuned insitu by polarizing the gates. Poly(vinylidene fluoride), PVDF and its copolymer with trifluoroethylene P(VDF-TrFE) are among the best known and most widely used ferroelectric polymers. In this manuscript, we report new results of an organic FET, fabricated with pentacene as the active material and P(VDF-TrFE) as the gate insulator. Application of a writing voltage of -50 V for short duration results in significant change in the threshold voltage and remarkable increase in the drain current. The memory effect is retained over a period of 20 hours.

  6. The future of memory

    Science.gov (United States)

    Marinella, M.

    In the not too distant future, the traditional memory and storage hierarchy of may be replaced by a single Storage Class Memory (SCM) device integrated on or near the logic processor. Traditional magnetic hard drives, NAND flash, DRAM, and higher level caches (L2 and up) will be replaced with a single high performance memory device. The Storage Class Memory paradigm will require high speed (read/write), excellent endurance (> 1012), nonvolatility (retention > 10 years), and low switching energies (memory (PCM). All of these devices show potential well beyond that of current flash technologies and research efforts are underway to improve the endurance, write speeds, and scalabilities to be on-par with DRAM. This progress has interesting implications for space electronics: each of these emerging device technologies show excellent resistance to the types of radiation typically found in space applications. Commercially developed, high density storage class memory-based systems may include a memory that is physically radiation hard, and suitable for space applications without major shielding efforts. This paper reviews the Storage Class Memory concept, emerging memory devices, and possible applicability to radiation hardened electronics for space.

  7. Management of long-term and reversible hysteroscopic sterilization: a novel device with nickel-titanium shape memory alloy

    Science.gov (United States)

    2014-01-01

    Background Female sterilization is the second most commonly used method of contraception in the United States. Female sterilization can now be performed through laparoscopic, abdominal, or hysteroscopic approaches. The hysteroscopic sterilization may be a safer option than sterilization through laparoscopy or laparotomy because it avoids invading the abdominal cavity and undergoing general anaesthesia. Hysteroscopic sterilization mainly includes chemical agents and mechanical devices. Common issues related to the toxicity of the chemical agents used have raised concerns regarding this kind of contraception. The difficulty of the transcervical insertion of such mechanical devices into the fallopian tubes has increased the high incidence of device displacement or dislodgment. At present, Essure® is the only commercially available hysteroscopic sterilization device being used clinically. The system is irreversible and is not effective immediately. Presentation of the hypothesis Our new hysteroscopic sterility system consists of nickel-titanium (NiTi) shape memory alloy and a waterproof membrane. The NiTi alloy is covered with two coatings to avoid toxic Ni release and to prevent stimulation of epithelial tissue growth around the oviducts. Because of the shape memory effect of the NiTi alloy, the device works like an umbrella: it stays collapsed at low temperature before placement and opens by the force of shape memory activated by the body temperature after it is inserted hysteroscopically into the interstitial tubal lumen. The rim of the open device will incise into interstitial myometrium during the process of unfolding. Once the device is fixed, it blocks the tube completely. When the patient no longer wishes for sterilization, the device can be closed by perfusing liquid with low temperature into the uterine cavity, followed by prospective hysteroscopic removal. After the device removal, the fallopian tube will revert to its physiological functions. Testing the

  8. Organic nonvolatile resistive memory devices based on thermally deposited Au nanoparticle

    Science.gov (United States)

    Jin, Zhiwen; Liu, Guo; Wang, Jizheng

    2013-05-01

    Uniform Au nanoparticles (NPs) are formed by thermally depositing nominal 2-nm thick Au film on a 10-nm thick polyimide film formed on a Al electrode, and then covered by a thin polymer semiconductor film, which acts as an energy barrier for electrons to be injected from the other Al electrode (on top of polymer film) into the Au NPs, which are energetically electron traps in such a resistive random access memory (RRAM) device. The Au NPs based RRAM device exhibits estimated retention time of 104 s, cycle times of more than 100, and ON-OFF ratio of 102 to 103. The carrier transport properties are also analyzed by fitting the measured I-V curves with several conduction models.

  9. A bio-inspired memory device based on interfacing Physarum polycephalum with an organic semiconductor

    Directory of Open Access Journals (Sweden)

    Agostino Romeo

    2015-01-01

    Full Text Available The development of devices able to detect and record ion fluxes is a crucial point in order to understand the mechanisms that regulate communication and life of organisms. Here, we take advantage of the combined electronic and ionic conduction properties of a conducting polymer to develop a hybrid organic/living device with a three-terminal configuration, using the Physarum polycephalum Cell (PPC slime mould as a living bio-electrolyte. An over-oxidation process induces a conductivity switch in the polymer, due to the ionic flux taking place at the PPC/polymer interface. This behaviour endows a current-depending memory effect to the device.

  10. Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture

    OpenAIRE

    senni , sophiane; Torres , Lionel; Sassatelli , Gilles; Gamatié , Abdoulaye; Mussard , Bruno

    2015-01-01

    International audience; Most die area of today's systems-on-chips is occupied by memories. Hence, a significant proportion of total power is spent on memory systems. Moreover, since processing elements have to be fed with instructions and data from memories, memory plays a key role for system's performance. As a result, memories are a critical part of future embedded systems. Continuing CMOS scaling leads to manufacturing constraints and power consumption issues for the current three main mem...

  11. Impact of AlO x layer on resistive switching characteristics and device-to-device uniformity of bilayered HfO x -based resistive random access memory devices

    Science.gov (United States)

    Chuang, Kai-Chi; Chung, Hao-Tung; Chu, Chi-Yan; Luo, Jun-Dao; Li, Wei-Shuo; Li, Yi-Shao; Cheng, Huang-Chung

    2018-06-01

    An AlO x layer was deposited on HfO x , and bilayered dielectric films were found to confine the formation locations of conductive filaments (CFs) during the forming process and then improve device-to-device uniformity. In addition, the Ti interposing layer was also adopted to facilitate the formation of oxygen vacancies. As a result, the resistive random access memory (RRAM) device with TiN/Ti/AlO x (1 nm)/HfO x (6 nm)/TiN stack layers demonstrated excellent device-to-device uniformity although it achieved slightly larger resistive switching characteristics, which were forming voltage (V Forming) of 2.08 V, set voltage (V Set) of 1.96 V, and reset voltage (V Reset) of ‑1.02 V, than the device with TiN/Ti/HfO x (6 nm)/TiN stack layers. However, the device with a thicker 2-nm-thick AlO x layer showed worse uniformity than the 1-nm-thick one. It was attributed to the increased oxygen atomic percentage in the bilayered dielectric films of the 2-nm-thick one. The difference in oxygen content showed that there would be less oxygen vacancies to form CFs. Therefore, the random growth of CFs would become severe and the device-to-device uniformity would degrade.

  12. Radiation Damage in Electronic Memory Devices

    Directory of Open Access Journals (Sweden)

    Irfan Fetahović

    2013-01-01

    Full Text Available This paper investigates the behavior of semiconductor memories exposed to radiation in order to establish their applicability in a radiation environment. The experimental procedure has been used to test radiation hardness of commercial semiconductor memories. Different types of memory chips have been exposed to indirect ionizing radiation by changing radiation dose intensity. The effect of direct ionizing radiation on semiconductor memory behavior has been analyzed by using Monte Carlo simulation method. Obtained results show that gamma radiation causes decrease in threshold voltage, being proportional to the absorbed dose of radiation. Monte Carlo simulations of radiation interaction with material proved to be significant and can be a good estimation tool in probing semiconductor memory behavior in radiation environment.

  13. Memory hierarchy using row-based compression

    Science.gov (United States)

    Loh, Gabriel H.; O'Connor, James M.

    2016-10-25

    A system includes a first memory and a device coupleable to the first memory. The device includes a second memory to cache data from the first memory. The second memory includes a plurality of rows, each row including a corresponding set of compressed data blocks of non-uniform sizes and a corresponding set of tag blocks. Each tag block represents a corresponding compressed data block of the row. The device further includes decompression logic to decompress data blocks accessed from the second memory. The device further includes compression logic to compress data blocks to be stored in the second memory.

  14. Influence of Thermal Annealing Treatment on Bipolar Switching Properties of Vanadium Oxide Thin-Film Resistance Random-Access Memory Devices

    Science.gov (United States)

    Chen, Kai-Huang; Cheng, Chien-Min; Kao, Ming-Cheng; Chang, Kuan-Chang; Chang, Ting-Chang; Tsai, Tsung-Ming; Wu, Sean; Su, Feng-Yi

    2017-04-01

    The bipolar switching properties and electrical conduction mechanism of vanadium oxide thin-film resistive random-access memory (RRAM) devices obtained using a rapid thermal annealing (RTA) process have been investigated in high-resistive status/low-resistive status (HRS/LRS) and are discussed herein. In addition, the resistance switching properties and quality improvement of the vanadium oxide thin-film RRAM devices were measured by x-ray diffraction (XRD) analysis, x-ray photoelectron spectrometry (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage ( I- V) measurements. The activation energy of the hopping conduction mechanism in the devices was investigated based on Arrhenius plots in HRS and LRS. The hopping conduction distance and activation energy barrier were obtained as 12 nm and 45 meV, respectively. The thermal annealing process is recognized as a candidate method for fabrication of thin-film RRAM devices, being compatible with integrated circuit technology for nonvolatile memory devices.

  15. WORM memory devices based on conformation change of a PVK derivative with a rigid spacer in side chain

    International Nuclear Information System (INIS)

    Liu Yuanhua; Li Najun; Xia Xuewei; Xu Qingfeng; Ge Jianfeng; Lu Jianmei

    2010-01-01

    A nonvolatile write-once-read-many-times (WORM) memory device based on poly((4-vinylbenzyl)-9H-carbazole) (PVCz) was fabricated by a simple and conventional process. The as-fabricated device was found to be at its OFF state and could be programmed irreversibly to the ON state with a low transition voltage of -1.7 V. The device exhibits a high ON/OFF current ratio of up to 10 6 , high stability in retention time up to 8 h and number of read cycles up to 10 8 under a read voltage of -1.0 V in both ON and OFF states. The results of X-ray diffraction (XRD) and fluorescence emission spectra in different states of PVCz indicate that the electrical bistable phenomenon is caused by the voltage-induced conformation change of the pendant carbazole groups. With high performance, low power consumption and low production cost, the device fabricated with PVCz has a potential application for nonvolatile memory.

  16. Reducing the influence of STI on SONOS memory through optimizing added boron implantation technology

    International Nuclear Information System (INIS)

    Xu Yue; Yan Feng; Li Zhiguo; Yang Fan; Wang Yonggang; Chang Jianguang

    2010-01-01

    The influence of shallow trench isolation (STI) on a 90 nm polysilicon-oxide-nitride-oxide-silicon structure non-volatile memory has been studied based on experiments. It has been found that the performance of edge memory cells adjacent to STI deteriorates remarkably. The compressive stress and boron segregation induced by STI are thought to be the main causes of this problem. In order to mitigate the STI impact, an added boron implantation in the STI region is developed as a new solution. Four kinds of boron implantation experiments have been implemented to evaluate the impact of STI on edge cells, respectively. The experimental results show that the performance of edge cells can be greatly improved through optimizing added boron implantation technology. (semiconductor devices)

  17. Bistable out-of-plane stress-mismatched thermally actuated bilayer devices with large deflection

    International Nuclear Information System (INIS)

    Goessling, B A; Lucas, T M; Moiseeva, E V; Aebersold, J W; Harnett, C K

    2011-01-01

    In this paper, we explore microfabricated bistable actuators released as thin films from a silicon wafer. The actuators are based on a serpentine design where two cantilevers are coupled at the tips by a thin-film bar. These devices are parameterized by two lengths: cantilever length and the length of the coupling bar. These two dimensions are systematically varied to study the effect of design parameters on bistability. The three-dimensional devices have extremely large deflection (hundreds of microns rather than tens of microns for most planar microactuators of similar size) and are thermally actuated out of the plane of the wafer by applying a bias across either the left or right side of the serpentine. The bistability of these devices is evaluated using electron and optical microscopy. Potential applications include non-volatile mechanical memory, optical shutters, and reconfigurable antenna elements

  18. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  19. Bipolar resistive switching in graphene oxide based metal insulator metal structure for non-volatile memory applications

    Science.gov (United States)

    Singh, Rakesh; Kumar, Ravi; Kumar, Anil; Kashyap, Rajesh; Kumar, Mukesh; Kumar, Dinesh

    2018-05-01

    Graphene oxide based devices have attracted much attention recently because of their possible application in next generation electronic devices. In this study, bipolar resistive switching characteristics of graphene oxide based metal insulator metal structure were investigated for nonvolatile memories. The graphene oxide was prepared by the conventional Hummer's method and deposited on ITO coated glass by spin-coating technique. The dominant mechanism of resistive switching is the formation and rupture of the conductive filament inside the graphene oxide. The conduction mechanism for low and high resistance states are dominated by two mechanism the ohmic conduction and space charge limited current (SCLC) mechanism, respectively. Atomic Force Microscopy, X-ray diffraction, Cyclic-Voltammetry were conducted to observe the morphology, structure and behavior of the material. The fabricated device with Al/GO/ITO structure exhibited reliable bipolar resistive switching with set & reset voltage of -2.3 V and 3V respectively.

  20. Chemical insight into origin of forming-free resistive random-access memory devices

    KAUST Repository

    Wu, X.

    2011-09-29

    We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS)analysis has been carried out to identify the distribution and the role played by Ti in the RRAM stack. Our results show that Ti out-diffusion into the HfOx layer is the chemical cause of forming-free behavior. Moreover, the capability of Ti to change its ionic state in HfOx eases the reduction-oxidation (redox) reaction, thus lead to the RRAM devices performance improvements.

  1. Electrical switching and memory phenomena observed in redox-gradient dendrimer sandwich devices

    OpenAIRE

    Li, JianChang; Blackstock, Silas C.; Szulczewski, Greg J.

    2005-01-01

    We report on the fabrication of dendrimer sandwich devices with electrical switching and memory properties. The storage media is consisted of a redox-gradient dendrimer layer sandwiched in organic barrier thin films. The dendrimer layer acts as potential well where redox-state changes and consequent electrical transitions of the embedded dendrimer molecules are expected to be effectively triggered and retained, respectively. Experimental results indicated that electrical switching could be re...

  2. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing

    2011-08-29

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through localized filaments, but these filaments have been characterized only individually, limiting our understanding of the possibility of multiple conductive filaments nucleation and rupture and the correlation kinetics of their evolution. In this study, direct visualization of uncorrelated multiple conductive filaments in ultra-thin HfO2-based high-κ dielectricresistive random access memory (RRAM) device has been achieved by high-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS), for nanoscale chemical analysis. The locations of these multiple filaments are found to be spatially uncorrelated. The evolution of these microstructural changes and chemical properties of these filaments will provide a fundamental understanding of the switching mechanism for RRAM in thin oxide films and pave way for the investigation into improving the stability and scalability of switching memory devices.

  3. Nanoscale observations of the operational failure for phase-change-type nonvolatile memory devices using Ge2Sb2Te5 chalcogenide thin films

    International Nuclear Information System (INIS)

    Yoon, Sung-Min; Choi, Kyu-Jeong; Lee, Nam-Yeal; Lee, Seung-Yun; Park, Young-Sam; Yu, Byoung-Gon

    2007-01-01

    In this study, a phase-change memory device was fabricated and the origin of device failure mode was examined using transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS). Ge 2 Sb 2 Te 5 (GST) was used as the active phase-change material in the memory device and the active pore size was designed to be 0.5 μm. After the programming signals of more than 2x10 6 cycles were repeatedly applied to the device, the high-resistance memory state (reset) could not be rewritten and the cell resistance was fixed at the low-resistance state (set). Based on TEM and EDS studies, Sb excess and Ge deficiency in the device operating region had a strong effect on device reliability, especially under endurance-demanding conditions. An abnormal segregation and oxidation of Ge also was observed in the region between the device operating and inactive peripheral regions. To guarantee an data endurability of more than 1x10 10 cycles of PRAM, it is very important to develop phase-change materials with more stable compositions and to reduce the current required for programming

  4. Embedded nonvolatile memory devices with various silicon nitride energy band gaps on glass used for flat panel display applications

    International Nuclear Information System (INIS)

    Son, Dang Ngoc; Van Duy, Nguyen; Jung, Sungwook; Yi, Junsin

    2010-01-01

    Nonvolatile memory (NVM) devices with a nitride–nitride–oxynitride stack structure on a rough poly-silicon (poly-Si) surface were fabricated using a low-temperature poly-Si (LTPS) thin film transistor technology on glass substrates for application of flat panel display (FPD). The plasma-assisted oxidation/nitridation method is used to form a uniform oxynitride with an ultrathin tunneling layer on a rough LTPS surface. The NVMs, using a Si-rich silicon nitride film as a charge-trapping layer, were proposed as one of the solutions for the improvement of device performance such as the program/erase speed, the memory window and the charge retention characteristics. To further improve the vertical scaling and charge retention characteristics of NVM devices, the high-κ high-density N-rich SiN x films are used as a blocking layer. The fabricated NVM devices have outstanding electrical properties, such as a low threshold voltage, a high ON/OFF current ratio, a low subthreshold swing, a low operating voltage of less than ±9 V and a large memory window of 3.7 V, which remained about 1.9 V over a period of 10 years. These characteristics are suitable for electrical switching and data storage with in FPD application

  5. Electrostatically telescoping nanotube nonvolatile memory device

    International Nuclear Information System (INIS)

    Kang, Jeong Won; Jiang Qing

    2007-01-01

    We propose a nonvolatile memory based on carbon nanotubes (CNTs) serving as the key building blocks for molecular-scale computers and investigate the dynamic operations of a double-walled CNT memory element by classical molecular dynamics simulations. The localized potential energy wells achieved from both the interwall van der Waals energy and CNT-metal binding energy make the bistability of the CNT positions and the electrostatic attractive forces induced by the voltage differences lead to the reversibility of this CNT memory. The material for the electrodes should be carefully chosen to achieve the nonvolatility of this memory. The kinetic energy of the CNT shuttle experiences several rebounds induced by the collisions of the CNT onto the metal electrodes, and this is critically important to the performance of such an electrostatically telescoping CNT memory because the collision time is sufficiently long to cause a delay of the state transition

  6. Role of potential fluctuations in phase-change GST memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Agarwal, Satish C. [Department of Physics, Indian Institute of Technology, Kanpur 208016 (India)

    2012-10-15

    The long range potential fluctuations (LRPFs) arising from the defects and heterogeneities in disordered semiconductors are important for understanding their atomic and electronic properties. Here, they are measured in Ge{sub X}Sb{sub Y}Te{sub 1-X-Y} (GST) chalcogenide glasses used in rewritable phase change memory (PCM) devices. It is found that the most commonly used composition Ge{sub 2}Sb{sub 2}Te{sub 5} has the smallest LRPF amongst its nearby compositions. This finding may be useful in the search for better PCM materials. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. New device for time-averaged measurement of volatile organic compounds (VOCs).

    Science.gov (United States)

    Santiago Sánchez, Noemí; Tejada Alarcón, Sergio; Tortajada Santonja, Rafael; Llorca-Pórcel, Julio

    2014-07-01

    Contamination by volatile organic compounds (VOCs) in the environment is an increasing concern since these compounds are harmful to ecosystems and even to human health. Actually, many of them are considered toxic and/or carcinogenic. The main sources of pollution come from very diffuse focal points such as industrial discharges, urban water and accidental spills as these compounds may be present in many products and processes (i.e., paints, fuels, petroleum products, raw materials, solvents, etc.) making their control difficult. The presence of these compounds in groundwater, influenced by discharges, leachate or effluents of WWTPs is especially problematic. In recent years, law has been increasingly restrictive with the emissions of these compounds. From an environmental point of view, the European Water Framework Directive (2000/60/EC) sets out some VOCs as priority substances. This binding directive sets guidelines to control compounds such as benzene, chloroform, and carbon tetrachloride to be at a very low level of concentration and with a very high frequency of analysis. The presence of VOCs in the various effluents is often highly variable and discontinuous since it depends on the variability of the sources of contamination. Therefore, in order to have complete information of the presence of these contaminants and to effectively take preventive measures, it is important to continuously control, requiring the development of new devices which obtain average concentrations over time. As of today, due to technical limitations, there are no devices on the market that allow continuous sampling of these compounds in an efficient way and to facilitate sufficient detection limits to meet the legal requirements which are capable of detecting very sporadic and of short duration discharges. LABAQUA has developed a device which consists of a small peristaltic pump controlled by an electronic board that governs its operation by pre-programming. A constant flow passes

  8. Unexpected uncertainty, volatility and decision-making

    Directory of Open Access Journals (Sweden)

    Amy Rachel Bland

    2012-06-01

    Full Text Available The study of uncertainty in decision making is receiving greater attention in the fields of cognitive and computational neuroscience. Several lines of evidence are beginning to elucidate different variants of uncertainty. Particularly, risk, ambiguity and expected and unexpected forms of uncertainty are well articulated in the literature. In this article we review both empirical and theoretical evidence arguing for the potential distinction between three forms of uncertainty; expected uncertainty, unexpected uncertainty and volatility. Particular attention will be devoted to exploring the distinction between unexpected uncertainty and volatility which has been less appreciated in the literature. This includes evidence from computational modelling, neuromodulation, neuroimaging and electrophysiological studies. We further address the possible differentiation of cognitive control mechanisms used to deal with these forms of uncertainty. Particularly we explore a role for conflict monitoring and the temporal integration of information into working memory. Finally, we explore whether the Dual Modes of Control theory provides a theoretical framework for understanding the distinction between unexpected uncertainty and volatility.

  9. First-principles thermodynamics and defect kinetics guidelines for engineering a tailored RRAM device

    International Nuclear Information System (INIS)

    Clima, Sergiu; Chen, Yang Yin; Goux, Ludovic; Govoreanu, Bogdan; Degraeve, Robin; Fantini, Andrea; Jurczak, Malgorzata; Chen, Chao Yang; Pourtois, Geoffrey

    2016-01-01

    Resistive Random Access Memories are among the most promising candidates for the next generation of non-volatile memory. Transition metal oxides such as HfOx and TaOx attracted a lot of attention due to their CMOS compatibility. Furthermore, these materials do not require the inclusion of extrinsic conducting defects since their operation is based on intrinsic ones (oxygen vacancies). Using Density Functional Theory, we evaluated the thermodynamics of the defects formation and the kinetics of diffusion of the conducting species active in transition metal oxide RRAM materials. The gained insights based on the thermodynamics in the Top Electrode, Insulating Matrix and Bottom Electrode and at the interfaces are used to design a proper defect reservoir, which is needed for a low-energy reliable switching device. The defect reservoir has also a direct impact on the retention of the Low Resistance State due to the resulting thermodynamic driving forces. The kinetics of the diffusing conducting defects in the Insulating Matrix determine the switching dynamics and resistance retention. The interface at the Bottom Electrode has a significant impact on the low-current operation and long endurance of the memory cell. Our first-principles findings are confirmed by experimental measurements on fabricated RRAM devices.

  10. First-principles thermodynamics and defect kinetics guidelines for engineering a tailored RRAM device

    Energy Technology Data Exchange (ETDEWEB)

    Clima, Sergiu, E-mail: clima@imec.be; Chen, Yang Yin; Goux, Ludovic; Govoreanu, Bogdan; Degraeve, Robin; Fantini, Andrea; Jurczak, Malgorzata [imec, Kapeldreef 75, 3001 Leuven (Belgium); Chen, Chao Yang [imec, Kapeldreef 75, 3001 Leuven (Belgium); Katholieke Universiteit Leuven, 3001 Leuven (Belgium); Pourtois, Geoffrey [imec, Kapeldreef 75, 3001 Leuven (Belgium); PLASMANT, University of Antwerp, 2610 Antwerpen (Belgium)

    2016-06-14

    Resistive Random Access Memories are among the most promising candidates for the next generation of non-volatile memory. Transition metal oxides such as HfOx and TaOx attracted a lot of attention due to their CMOS compatibility. Furthermore, these materials do not require the inclusion of extrinsic conducting defects since their operation is based on intrinsic ones (oxygen vacancies). Using Density Functional Theory, we evaluated the thermodynamics of the defects formation and the kinetics of diffusion of the conducting species active in transition metal oxide RRAM materials. The gained insights based on the thermodynamics in the Top Electrode, Insulating Matrix and Bottom Electrode and at the interfaces are used to design a proper defect reservoir, which is needed for a low-energy reliable switching device. The defect reservoir has also a direct impact on the retention of the Low Resistance State due to the resulting thermodynamic driving forces. The kinetics of the diffusing conducting defects in the Insulating Matrix determine the switching dynamics and resistance retention. The interface at the Bottom Electrode has a significant impact on the low-current operation and long endurance of the memory cell. Our first-principles findings are confirmed by experimental measurements on fabricated RRAM devices.

  11. Electrically-controlled nonlinear switching and multi-level storage characteristics in WOx film-based memory cells

    Science.gov (United States)

    Duan, W. J.; Wang, J. B.; Zhong, X. L.

    2018-05-01

    Resistive switching random access memory (RRAM) is considered as a promising candidate for the next generation memory due to its scalability, high integration density and non-volatile storage characteristics. Here, the multiple electrical characteristics in Pt/WOx/Pt cells are investigated. Both of the nonlinear switching and multi-level storage can be achieved by setting different compliance current in the same cell. The correlations among the current, time and temperature are analyzed by using contours and 3D surfaces. The switching mechanism is explained in terms of the formation and rupture of conductive filament which is related to oxygen vacancies. The experimental results show that the non-stoichiometric WOx film-based device offers a feasible way for the applications of oxide-based RRAMs.

  12. Improvement of multi-level resistive switching characteristics in solution-processed AlO x -based non-volatile resistive memory using microwave irradiation

    Science.gov (United States)

    Kim, Seung-Tae; Cho, Won-Ju

    2018-01-01

    We fabricated a resistive random access memory (ReRAM) device on a Ti/AlO x /Pt structure with solution-processed AlO x switching layer using microwave irradiation (MWI), and demonstrated multi-level cell (MLC) operation. To investigate the effect of MWI power on the MLC characteristics, post-deposition annealing was performed at 600-3000 W after AlO x switching layer deposition, and the MLC operation was compared with as-deposited (as-dep) and conventional thermally annealing (CTA) treated devices. All solution-processed AlO x -based ReRAM devices exhibited bipolar resistive switching (BRS) behavior. We found that these devices have four-resistance states (2 bits) of MLC operation according to the modulation of the high-resistance state (HRSs) through reset voltage control. Particularly, compared to the as-dep and CTA ReRAM devices, the MWI-treated ReRAM devices showed a significant increase in the memory window and stable endurance for multi-level operation. Moreover, as the MWI power increased, excellent MLC characteristics were exhibited because the resistance ratio between each resistance state was increased. In addition, it exhibited reliable retention characteristics without deterioration at 25 °C and 85 °C for 10 000 s. Finally, the relationship between the chemical characteristics of the solution-processed AlO x switching layer and BRS-based multi-level operation according to the annealing method and MWI power was investigated using x-ray photoelectron spectroscopy.

  13. Development of Next Generation Memory Test Experiment for Deployment on a Small Satellite

    Science.gov (United States)

    MacLeod, Todd; Ho, Fat D.

    2012-01-01

    The original Memory Test Experiment successfully flew on the FASTSAT satellite launched in November 2010. It contained a single Ramtron 512K ferroelectric memory. The memory device went through many thousands of read/write cycles and recorded any errors that were encountered. The original mission length was schedule to last 6 months but was extended to 18 months. New opportunities exist to launch a similar satellite and considerations for a new memory test experiment should be examined. The original experiment had to be designed and integrated in less than two months, so the experiment was a simple design using readily available parts. The follow-on experiment needs to be more sophisticated and encompass more technologies. This paper lays out the considerations for the design and development of this follow-on flight memory experiment. It also details the results from the original Memory Test Experiment that flew on board FASTSAT. Some of the design considerations for the new experiment include the number and type of memory devices to be used, the kinds of tests that will be performed, other data needed to analyze the results, and best use of limited resources on a small satellite. The memory technologies that are considered are FRAM, FLASH, SONOS, Resistive Memory, Phase Change Memory, Nano-wire Memory, Magneto-resistive Memory, Standard DRAM, and Standard SRAM. The kinds of tests that could be performed are read/write operations, non-volatile memory retention, write cycle endurance, power measurements, and testing Error Detection and Correction schemes. Other data that may help analyze the results are GPS location of recorded errors, time stamp of all data recorded, radiation measurements, temperature, and other activities being perform by the satellite. The resources of power, volume, mass, temperature, processing power, and telemetry bandwidth are extremely limited on a small satellite. Design considerations must be made to allow the experiment to not interfere

  14. Novel applications of non-volatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Duthie, I

    1982-01-01

    The author reviews briefly the evolution of the programmable memory and the alternative technologies, before discussing the operation of a small EEPROM when used in conjunction with a microprocessor for typical applications. Some applications are reviewed and the opportunities which eeproms can offer for new applications are presented, together with the requirements for artificial intelligence to become a reality.

  15. The effectiveness of music as a mnemonic device on recognition memory for people with multiple sclerosis.

    Science.gov (United States)

    Moore, Kimberly Sena; Peterson, David A; O'Shea, Geoffrey; McIntosh, Gerald C; Thaut, Michael H

    2008-01-01

    Research shows that people with multiple sclerosis exhibit learning and memory difficulties and that music can be used successfully as a mnemonic device to aid in learning and memory. However, there is currently no research investigating the effectiveness of music mnemonics as a compensatory learning strategy for people with multiple sclerosis. Participants with clinically definitive multiple sclerosis (N = 38) were given a verbal learning and memory test. Results from a recognition memory task were analyzed that compared learning through music (n = 20) versus learning through speech (n = 18). Preliminary baseline neuropsychological data were collected that measured executive functioning skills, learning and memory abilities, sustained attention, and level of disability. An independent samples t test showed no significant difference between groups on baseline neuropsychological functioning or on recognition task measures. Correlation analyses suggest that music mnemonics may facilitate learning for people who are less impaired by the disease. Implications for future research are discussed.

  16. Providing a Foundation for Analysis of Volatile Data Stores

    Directory of Open Access Journals (Sweden)

    Timothy Vidas

    2007-09-01

    Full Text Available Current threats against typical computer systems demonstrate a need for forensic analysis of memory-resident data in addition to the conventional static analysis common today.  Certain attacks and types of malware exist solely in memory and leave little or no evidentiary information on nonvolatile stores such as a hard disk drive.  The desire to preserve system state at the time of response may even warrant memory acquisition independent of perceived threats and the ability to analyze the acquired duplicate. Tools capable of duplicating various types of volatile data stores are becoming widely available.  Once the data store has been duplicated, current forensic procedures have no method for extrapolating further useful information from the duplicate.  This paper is focused on providing the groundwork for performing forensic investigations on the data that is typically stored in a volatile data store, such as system RAM.It is intended that, when combined with good acquisition techniques, it will be shown that it is possible to obtain more post incident response information along with less impact to potential evidence when compared to typical incident response procedures. 

  17. Enhanced oxygen vacancy diffusion in Ta2O5 resistive memory devices due to infinitely adaptive crystal structure

    Science.gov (United States)

    Jiang, Hao; Stewart, Derek A.

    2016-04-01

    Metal oxide resistive memory devices based on Ta2O5 have demonstrated high switching speed, long endurance, and low set voltage. However, the physical origin of this improved performance is still unclear. Ta2O5 is an important archetype of a class of materials that possess an adaptive crystal structure that can respond easily to the presence of defects. Using first principles nudged elastic band calculations, we show that this adaptive crystal structure leads to low energy barriers for in-plane diffusion of oxygen vacancies in λ phase Ta2O5. Identified diffusion paths are associated with collective motion of neighboring atoms. The overall vacancy diffusion is anisotropic with higher diffusion barriers found for oxygen vacancy movement between Ta-O planes. Coupled with the fact that oxygen vacancy formation energy in Ta2O5 is relatively small, our calculated low diffusion barriers can help explain the low set voltage in Ta2O5 based resistive memory devices. Our work shows that other oxides with adaptive crystal structures could serve as potential candidates for resistive random access memory devices. We also discuss some general characteristics for ideal resistive RAM oxides that could be used in future computational material searches.

  18. DESTINY: A Comprehensive Tool with 3D and Multi-Level Cell Memory Modeling Capability

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-09-01

    Full Text Available To enable the design of large capacity memory structures, novel memory technologies such as non-volatile memory (NVM and novel fabrication approaches, e.g., 3D stacking and multi-level cell (MLC design have been explored. The existing modeling tools, however, cover only a few memory technologies, technology nodes and fabrication approaches. We present DESTINY, a tool for modeling 2D/3D memories designed using SRAM, resistive RAM (ReRAM, spin transfer torque RAM (STT-RAM, phase change RAM (PCM and embedded DRAM (eDRAM and 2D memories designed using spin orbit torque RAM (SOT-RAM, domain wall memory (DWM and Flash memory. In addition to single-level cell (SLC designs for all of these memories, DESTINY also supports modeling MLC designs for NVMs. We have extensively validated DESTINY against commercial and research prototypes of these memories. DESTINY is very useful for performing design-space exploration across several dimensions, such as optimizing for a target (e.g., latency, area or energy-delay product for a given memory technology, choosing the suitable memory technology or fabrication method (i.e., 2D v/s 3D for a given optimization target, etc. We believe that DESTINY will boost studies of next-generation memory architectures used in systems ranging from mobile devices to extreme-scale supercomputers. The latest source-code of DESTINY is available from the following git repository: https://bitbucket.org/sparshmittal/destinyv2.

  19. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu; Goh, J. Y.; Guo, Zaibing; Luo, Ping; Wang, Chenchen; Qiu, Jinjun; Ho, Pin; Chen, Yunjie; Zhang, Mingsheng; Han, Guchang

    2013-01-01

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half

  20. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, Husam N.

    2012-01-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility

  1. Lanthanum Gadolinium Oxide: A New Electronic Device Material for CMOS Logic and Memory Devices

    Directory of Open Access Journals (Sweden)

    Shojan P. Pavunny

    2014-03-01

    Full Text Available A comprehensive study on the ternary dielectric, LaGdO3, synthesized and qualified in our laboratory as a novel high-k dielectric material for logic and memory device applications in terms of its excellent features that include a high linear dielectric constant (k of ~22 and a large energy bandgap of ~5.6 eV, resulting in sufficient electron and hole band offsets of ~2.57 eV and ~1.91 eV, respectively, on silicon, good thermal stability with Si and lower gate leakage current densities within the International Technology Roadmap for Semiconductors (ITRS specified limits at the sub-nanometer electrical functional thickness level, which are desirable for advanced complementary metal-oxide-semiconductor (CMOS, bipolar (Bi and BiCMOS chips applications, is presented in this review article.

  2. Efficient Management for Hybrid Memory in Managed Language Runtime

    OpenAIRE

    Wang , Chenxi; Cao , Ting; Zigman , John; Lv , Fang; Zhang , Yunquan; Feng , Xiaobing

    2016-01-01

    Part 1: Memory: Non-Volatile, Solid State Drives, Hybrid Systems; International audience; Hybrid memory, which leverages the benefits of traditional DRAM and emerging memory technologies, is a promising alternative for future main memory design. However popular management policies through memory-access recording and page migration may invoke non-trivial overhead in execution time and hardware space. Nowadays, managed language applications are increasingly dominant in every kind of platform. M...

  3. Modeling and Forecasting S&P 500 Volatility: Long Memory, Structural Breaks and Nonlinearity

    NARCIS (Netherlands)

    M.P.E. Martens (Martin); D.J.C. van Dijk (Dick); M.D. de Pooter (Michiel)

    2004-01-01

    textabstractThe sum of squared intraday returns provides an unbiased and almost error-free measure of ex-post volatility. In this paper we develop a nonlinear Autoregressive Fractionally Integrated Moving Average (ARFIMA) model for realized volatility, which accommodates level shifts,

  4. Application of phase-change materials in memory taxonomy.

    Science.gov (United States)

    Wang, Lei; Tu, Liang; Wen, Jing

    2017-01-01

    Phase-change materials are suitable for data storage because they exhibit reversible transitions between crystalline and amorphous states that have distinguishable electrical and optical properties. Consequently, these materials find applications in diverse memory devices ranging from conventional optical discs to emerging nanophotonic devices. Current research efforts are mostly devoted to phase-change random access memory, whereas the applications of phase-change materials in other types of memory devices are rarely reported. Here we review the physical principles of phase-change materials and devices aiming to help researchers understand the concept of phase-change memory. We classify phase-change memory devices into phase-change optical disc, phase-change scanning probe memory, phase-change random access memory, and phase-change nanophotonic device, according to their locations in memory hierarchy. For each device type we discuss the physical principles in conjunction with merits and weakness for data storage applications. We also outline state-of-the-art technologies and future prospects.

  5. Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset tolerance

    Science.gov (United States)

    Zand, Ramtin; DeMara, Ronald F.

    2017-12-01

    In this paper, we have developed a radiation-hardened non-volatile lookup table (LUT) circuit utilizing spin Hall effect (SHE)-magnetic random access memory (MRAM) devices. The design is motivated by modeling the effect of radiation particles striking hybrid complementary metal oxide semiconductor/spin based circuits, and the resistive behavior of SHE-MRAM devices via established and precise physics equations. The models developed are leveraged in the SPICE circuit simulator to verify the functionality of the proposed design. The proposed hardening technique is based on using feedback transistors, as well as increasing the radiation capacity of the sensitive nodes. Simulation results show that our proposed LUT circuit can achieve multiple node upset (MNU) tolerance with more than 38% and 60% power-delay product improvement as well as 26% and 50% reduction in device count compared to the previous energy-efficient radiation-hardened LUT designs. Finally, we have performed a process variation analysis showing that the MNU immunity of our proposed circuit is realized at the cost of increased susceptibility to transistor and MRAM variations compared to an unprotected LUT design.

  6. All-printed paper memory

    KAUST Repository

    Lien, Derhsien

    2014-08-26

    We report the memory device on paper by means of an all-printing approach. Using a sequence of inkjet and screen-printing techniques, a simple metal-insulator-metal device structure is fabricated on paper as a resistive random access memory with a potential to reach gigabyte capacities on an A4 paper. The printed-paper-based memory devices (PPMDs) exhibit reproducible switching endurance, reliable retention, tunable memory window, and the capability to operate under extreme bending conditions. In addition, the PBMD can be labeled on electronics or living objects for multifunctional, wearable, on-skin, and biocompatible applications. The disposability and the high-security data storage of the paper-based memory are also demonstrated to show the ease of data handling, which are not achievable for regular silicon-based electronic devices. We envision that the PPMDs manufactured by this cost-effective and time-efficient all-printing approach would be a key electronic component to fully activate a paper-based circuit and can be directly implemented in medical biosensors, multifunctional devices, and self-powered systems. © 2014 American Chemical Society.

  7. Self-formed conductive nanofilaments in (Bi, Mn)Ox for ultralow-power memory devices

    KAUST Repository

    Kang, Chen Fang

    2015-04-01

    Resistive random access memory (RRAM) is one of the most promising candidates as a next generation nonvolatile memory (NVM), owing to its superior scalability, low power consumption and high speed. From the materials science point of view, to explore optimal RRAM materials is still essential for practical application. In this work, a new material (Bi, Mn)Ox (BMO) is investigated and several key performance characteristics of Pt/BMO/Pt structured device, including switching performance, retention and endurance, are examined in details. Furthermore, it has been confirmed by high-resolution transmission electron microscopy that the underlying switching mechanism is attributed to formation and disruption of metallic conducting nanofilaments (CNFs). More importantly, the power dissipation for each CNF is as low as 3.8/20fJ for set/reset process, and a realization of cross-bar structure memory cell is demonstrated to prove the downscaling ability of proposed RRAM. These distinctive properties have important implications for understanding switching mechanisms and implementing ultralow power-dissipation RRAM based on BMO. •Self-formed conductive nanofilaments in BMO show ultralow-power memory feature.•The feature of 10nm in diameter and an average 20-30nm spacing of CNFs suggests the compatibility with the current CMOS technologies.•Power dissipation for each CNF is as low as 3.8/20fJ for set/reset process•A realization of cross-bar structure memory cell is demonstrated to prove the downscaling ability of proposed RRAM. © 2015 Elsevier Ltd.

  8. Exploring heterogeneous market hypothesis using realized volatility

    Science.gov (United States)

    Chin, Wen Cheong; Isa, Zaidi; Mohd Nor, Abu Hassan Shaari

    2013-04-01

    This study investigates the heterogeneous market hypothesis using high frequency data. The cascaded heterogeneous trading activities with different time durations are modelled by the heterogeneous autoregressive framework. The empirical study indicated the presence of long memory behaviour and predictability elements in the financial time series which supported heterogeneous market hypothesis. Besides the common sum-of-square intraday realized volatility, we also advocated two power variation realized volatilities in forecast evaluation and risk measurement in order to overcome the possible abrupt jumps during the credit crisis. Finally, the empirical results are used in determining the market risk using the value-at-risk approach. The findings of this study have implications for informationally market efficiency analysis, portfolio strategies and risk managements.

  9. Short-term memory to long-term memory transition in a nanoscale memristor.

    Science.gov (United States)

    Chang, Ting; Jo, Sung-Hyun; Lu, Wei

    2011-09-27

    "Memory" is an essential building block in learning and decision-making in biological systems. Unlike modern semiconductor memory devices, needless to say, human memory is by no means eternal. Yet, forgetfulness is not always a disadvantage since it releases memory storage for more important or more frequently accessed pieces of information and is thought to be necessary for individuals to adapt to new environments. Eventually, only memories that are of significance are transformed from short-term memory into long-term memory through repeated stimulation. In this study, we show experimentally that the retention loss in a nanoscale memristor device bears striking resemblance to memory loss in biological systems. By stimulating the memristor with repeated voltage pulses, we observe an effect analogous to memory transition in biological systems with much improved retention time accompanied by additional structural changes in the memristor. We verify that not only the shape or the total number of stimuli is influential, but also the time interval between stimulation pulses (i.e., the stimulation rate) plays a crucial role in determining the effectiveness of the transition. The memory enhancement and transition of the memristor device was explained from the microscopic picture of impurity redistribution and can be qualitatively described by the same equations governing biological memories. © 2011 American Chemical Society

  10. MBE-grown Si and Si1−xGex quantum dots embedded within epitaxial Gd2O3 on Si(111) substrate for floating gate memory device

    International Nuclear Information System (INIS)

    Manna, S; Aluguri, R; Katiyar, A; Ray, S K; Das, S; Laha, A; Osten, H J

    2013-01-01

    Si and Si 1−x Ge x quantum dots embedded within epitaxial Gd 2 O 3 grown by molecular beam epitaxy have been studied for application in floating gate memory devices. The effect of interface traps and the role of quantum dots on the memory properties have been studied using frequency-dependent capacitance–voltage and conductance–voltage measurements. Multilayer quantum dot memory comprising four and five layers of Si quantum dots exhibits a superior memory window to that of single-layer quantum dot memory devices. It has also been observed that single-layer Si 1−x Ge x quantum dots show better memory characteristics than single-layer Si quantum dots. (paper)

  11. A non-destructive crossbar architecture of multi-level memory-based resistor

    Science.gov (United States)

    Sahebkarkhorasani, Seyedmorteza

    Nowadays, researchers are trying to shrink the memory cell in order to increase the capacity of the memory system and reduce the hardware costs. In recent years, there has been a revolution in electronics by using fundamentals of physics to build a new memory for computer application in order to increase the capacity and decrease the power consumption. Increasing the capacity of the memory causes a growth in the chip area. From 1971 to 2012 semiconductor manufacturing process improved from 6mum to 22 mum. In May 2008, S.Williams stated that "it is time to stop shrinking". In his paper, he declared that the process of shrinking memory element has recently become very slow and it is time to use another alternative in order to create memory elements [9]. In this project, we present a new design of a memory array using the new element named Memristor [3]. Memristor is a two-terminal passive electrical element that relates the charge and magnetic flux to each other. The device remained unknown since 1971 when it was discovered by Chua and introduced as the fourth fundamental passive element like capacitor, inductor and resistor [3]. Memristor has a dynamic resistance and it can retain its previous value even after disconnecting the power supply. Due to this interesting behavior of the Memristor, it can be a good replacement for all of the Non-Volatile Memories (NVMs) in the near future. Combination of this newly introduced element with the nanowire crossbar architecture would be a great structure which is called Crossbar Memristor. Some frameworks have recently been introduced in literature that utilized Memristor crossbar array, but there are many challenges to implement the Memristor crossbar array due to fabrication and device limitations. In this work, we proposed a simple design of Memristor crossbar array architecture which uses input feedback in order to preserve its data after each read operation.

  12. Control rod selecting and driving device

    International Nuclear Information System (INIS)

    Isobe, Hideo.

    1981-01-01

    Purpose: To simultaneously drive a predetermined number of control rods in a predetermined mode by the control of addresses for predetermined number of control rods and read or write of driving codified data to and from the memory by way of a memory controller. Constitution: The system comprises a control rod information selection device for selecting predetermined control rods from a plurality of control rods disposed in a reactor and outputting information for driving them in a predetermined mode, a control rod information output device for codifying the information outputted from the above device and outputting the addresses to the predetermined control rods and driving mode coded data, and a driving device for driving said predetermined control rods in a predetermined mode in accordance with the codified data outputted from the above device, said control rod infromation output device comprising a memory device capable of storing a predetermined number of the codified data and a memory control device for storing the predetermined number of data into the above memory device at a predetermined timing while successively outputting the thus stored predetermined number of data at a predetermined timing. (Seki, T.)

  13. Magnetic vortex racetrack memory

    Science.gov (United States)

    Geng, Liwei D.; Jin, Yongmei M.

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications.

  14. Ultra-Low Power Memory Design in Scaled Technology Nodes

    DEFF Research Database (Denmark)

    Zeinali, Behzad

    that the proposed SRAM reduces access time and leakage current by 40% and 20%, respectively, compared to the standard 8T-SRAM cell without any degradation in read and write margins. The second solution is an asymmetric Schottky barrier device, which can mitigate the read–write conflict of the 6T-SRAM cell in scaled...... technology nodes i.e. sub-50 nm. The 6T-SRAM designed based on the proposed device shows 18% leakage reduction and 54%, 6.6% and 3.1X improvement in read margin, write margin and write time, respectively, compared to the conventional 6T-SRAM cell. To address the standby power issue of SRAMs in scaled...... technology nodes, this thesis also investigates emerging non-volatile spintronics memories. In this respect, STT-MRAMs and SOT-MRAMs are studied and their design challenges are explored. To improve the read performance of STT-MRAMs, a novel non-destructive self-reference sensing scheme is proposed enabling...

  15. High-performance solution-processed polymer ferroelectric field-effect transistors

    NARCIS (Netherlands)

    Naber, RCG; Tanase, C; Blom, PWM; Gelinck, GH; Marsman, AW; Touwslager, FJ; Setayesh, S; De Leeuw, DM; Naber, Ronald C.G.; Gelinck, Gerwin H.; Marsman, Albert W.; Touwslager, Fred J.

    We demonstrate a rewritable, non-volatile memory device with flexible plastic active layers deposited from solution. The memory device is a ferroelectric field-effect transistor (FeFET) made with a ferroelectric fluoropolymer and a bisalkoxy-substituted poly(p-phenylene vinylene) semiconductor

  16. Organic bistable light-emitting devices

    Science.gov (United States)

    Ma, Liping; Liu, Jie; Pyo, Seungmoon; Yang, Yang

    2002-01-01

    An organic bistable device, with a unique trilayer structure consisting of organic/metal/organic sandwiched between two outmost metal electrodes, has been invented. [Y. Yang, L. P. Ma, and J. Liu, U.S. Patent Pending, U.S. 01/17206 (2001)]. When the device is biased with voltages beyond a critical value (for example 3 V), the device suddenly switches from a high-impedance state to a low-impedance state, with a difference in injection current of more than 6 orders of magnitude. When the device is switched to the low-impedance state, it remains in that state even when the power is off. (This is called "nonvolatile" phenomenon in memory devices.) The high-impedance state can be recovered by applying a reverse bias; therefore, this bistable device is ideal for memory applications. In order to increase the data read-out rate of this type of memory device, a regular polymer light-emitting diode has been integrated with the organic bistable device, such that it can be read out optically. These features make the organic bistable light-emitting device a promising candidate for several applications, such as digital memories, opto-electronic books, and recordable papers.

  17. Transparent and flexible resistive switching memory devices with a very high ON/OFF ratio using gold nanoparticles embedded in a silk protein matrix

    Science.gov (United States)

    Gogurla, Narendar; Mondal, Suvra P.; Sinha, Arun K.; Katiyar, Ajit K.; Banerjee, Writam; Kundu, Subhas C.; Ray, Samit K.

    2013-08-01

    The growing demand for biomaterials for electrical and optical devices is motivated by the need to make building blocks for the next generation of printable bio-electronic devices. In this study, transparent and flexible resistive memory devices with a very high ON/OFF ratio incorporating gold nanoparticles into the Bombyx mori silk protein fibroin biopolymer are demonstrated. The novel electronic memory effect is based on filamentary switching, which leads to the occurrence of bistable states with an ON/OFF ratio larger than six orders of magnitude. The mechanism of this process is attributed to the formation of conductive filaments through silk fibroin and gold nanoparticles in the nanocomposite. The proposed hybrid bio-inorganic devices show promise for use in future flexible and transparent nanoelectronic systems.

  18. Transparent and flexible resistive switching memory devices with a very high ON/OFF ratio using gold nanoparticles embedded in a silk protein matrix

    International Nuclear Information System (INIS)

    Gogurla, Narendar; Mondal, Suvra P; Sinha, Arun K; Katiyar, Ajit K; Banerjee, Writam; Ray, Samit K; Kundu, Subhas C

    2013-01-01

    The growing demand for biomaterials for electrical and optical devices is motivated by the need to make building blocks for the next generation of printable bio-electronic devices. In this study, transparent and flexible resistive memory devices with a very high ON/OFF ratio incorporating gold nanoparticles into the Bombyx mori silk protein fibroin biopolymer are demonstrated. The novel electronic memory effect is based on filamentary switching, which leads to the occurrence of bistable states with an ON/OFF ratio larger than six orders of magnitude. The mechanism of this process is attributed to the formation of conductive filaments through silk fibroin and gold nanoparticles in the nanocomposite. The proposed hybrid bio-inorganic devices show promise for use in future flexible and transparent nanoelectronic systems. (paper)

  19. Nanoscale chemical state analysis of resistance random access memory device reacting with Ti

    Science.gov (United States)

    Shima, Hisashi; Nakano, Takashi; Akinaga, Hiro

    2010-05-01

    The thermal stability of the resistance random access memory material in the reducing atmosphere at the elevated temperature was improved by the addition of Ti. The unipolar resistance switching before and after the postdeposition annealing (PDA) process at 400 °C was confirmed in Pt/CoO/Ti(5 nm)/Pt device, while the severe degradation of the initial resistance occurs in the Pt/CoO/Pt and Pt/CoO/Ti(50 nm)/Pt devices. By investigating the chemical bonding states of Co, O, and Ti using electron energy loss spectroscopy combined with transmission electron microscopy, it was revealed that excess Ti induces the formation of metallic Co, while the thermal stability was improved by trace Ti. Moreover, it was indicated that the filamentary conduction path can be thermally induced after PDA in the oxide layer by analyzing electrical properties of the degraded devices. The adjustment of the reducing elements is quite essential in order to participate in their profits.

  20. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation-hardened CMOS devices and circuits - LDRD Project (FY99)

    Energy Technology Data Exchange (ETDEWEB)

    MYERS,DAVID R.; JESSING,JEFFREY R.; SPAHN,OLGA B.; SHANEYFELT,MARTY R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds.

  1. LDRD Final Report - Investigations of the impact of the process integration of deposited magnetic films for magnetic memory technologies on radiation hardened CMOS devices and circuits - LDRD Project (FY99)

    International Nuclear Information System (INIS)

    Myers, David R.; Jessing, Jeffrey R.; Spahn, Olga B.; Shaneyfelt, Marty R.

    2000-01-01

    This project represented a coordinated LLNL-SNL collaboration to investigate the feasibility of developing radiation-hardened magnetic non-volatile memories using giant magnetoresistance (GMR) materials. The intent of this limited-duration study was to investigate whether giant magnetoresistance (GMR) materials similar to those used for magnetic tunnel junctions (MTJs) were process compatible with functioning CMOS circuits. Sandia's work on this project demonstrated that deposition of GMR materials did not affect the operation nor the radiation hardness of Sandia's rad-hard CMOS technology, nor did the integration of GMR materials and exposure to ionizing radiation affect the magnetic properties of the GMR films. Thus, following deposition of GMR films on rad-hard integrated circuits, both the circuits and the films survived ionizing radiation levels consistent with DOE mission requirements. Furthermore, Sandia developed techniques to pattern deposited GMR films without degrading the completed integrated circuits upon which they were deposited. The present feasibility study demonstrated all the necessary processing elements to allow fabrication of the non-volatile memory elements onto an existing CMOS chip, and even allow the use of embedded (on-chip) non-volatile memories for system-on-a-chip applications, even in demanding radiation environments. However, funding agencies DTRA, AIM, and DARPA did not have any funds available to support the required follow-on technology development projects that would have been required to develop functioning prototype circuits, nor were such funds available from LDRD nor from other DOE program funds

  2. Stochastic volatility of volatility in continuous time

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole; Veraart, Almut

    This paper introduces the concept of stochastic volatility of volatility in continuous time and, hence, extends standard stochastic volatility (SV) models to allow for an additional source of randomness associated with greater variability in the data. We discuss how stochastic volatility...... of volatility can be defined both non-parametrically, where we link it to the quadratic variation of the stochastic variance process, and parametrically, where we propose two new SV models which allow for stochastic volatility of volatility. In addition, we show that volatility of volatility can be estimated...

  3. Accessing memory

    Science.gov (United States)

    Yoon, Doe Hyun; Muralimanohar, Naveen; Chang, Jichuan; Ranganthan, Parthasarathy

    2017-09-26

    A disclosed example method involves performing simultaneous data accesses on at least first and second independently selectable logical sub-ranks to access first data via a wide internal data bus in a memory device. The memory device includes a translation buffer chip, memory chips in independently selectable logical sub-ranks, a narrow external data bus to connect the translation buffer chip to a memory controller, and the wide internal data bus between the translation buffer chip and the memory chips. A data access is performed on only the first independently selectable logical sub-rank to access second data via the wide internal data bus. The example method also involves locating a first portion of the first data, a second portion of the first data, and the second data on the narrow external data bus during separate data transfers.

  4. Finite temperature simulation studies of spin-flop magnetic random access memory devices

    International Nuclear Information System (INIS)

    Chui, S.T.; Chang, C.-R.

    2006-01-01

    Spin-flop structures are currently being developed for magnetic random access memory devices. We report simulation studies of this system. We found the switching involves an intermediate edge-pinned domain state, similar to that observed in the single layer case. This switching scenario is quite different from that based on the coherent rotation picture. A significant temperature dependence of the switching field is observed. Our result suggests that the interplane coupling and thus the switching field has to be above a finite threshold for the spin-flop switching to be better than conventional switching methods

  5. All-printed paper memory

    KAUST Repository

    He, Jr-Hau; Lin, Chun-Ho; Lien, Der-Hsien

    2016-01-01

    All-printed paper-based substrate memory devices are described. In an embodiment, a paper-based memory device is prepared by coating one or more areas of a paper substrate with a conductor material such as a carbon paste, to form a first electrode

  6. An insight into the dopant selection for CeO2-based resistive-switching memory system: a DFT and experimental study

    Science.gov (United States)

    Hussain, Fayyaz; Imran, Muhammad; Rana, Anwar Manzoor; Khalil, R. M. Arif; Khera, Ejaz Ahmad; Kiran, Saira; Javid, M. Arshad; Sattar, M. Atif; Ismail, Muhammad

    2018-03-01

    The aim of this study is to figure out better metal dopants for CeO2 for designing highly efficient non-volatile memory (NVM) devices. The present DFT work involves four different metals doped interstitially and substitutionally in CeO2 thin films. First principle calculations involve electron density of states (DOS) and partial density of states (PDOS), and isosurface charge densities are carried out within the plane-wave density functional theory using GGA and GGA + U approach by employing the Vienna ab initio simulation package VASP. Isosurface charge density plots confirmed that interstitial doping of Zr and Ti metals truly assists in generating conduction filaments (CFs), while substitutional doping of these metals cannot do so. Substitutional doping of W may contribute in generating CFs in CeO2 directly, but its interstitial doping improves conductivity of CeO2. However, Ni-dopant is capable of directly generating CFs both as substitutional and interstitial dopants in ceria. Such a capability of Ni appears acting as top electrode in Ni/CeO2/Pt memory devices, but its RS behavior is not so good. On inserting Zr layer to make Ni/Zr:CeO2/Pt memory stacks, Ni does not contribute in RS characteristics, but Zr plays a vital role in forming CFs by creating oxygen vacancies and forming ZrO2 interfacial layer. Therefore, Zr-doped devices exhibit high-resistance ratio of 104 and good endurance as compared to undoped devices suitable for RRAM applications.

  7. FPGA-based prototype storage system with phase change memory

    Science.gov (United States)

    Li, Gezi; Chen, Xiaogang; Chen, Bomy; Li, Shunfen; Zhou, Mi; Han, Wenbing; Song, Zhitang

    2016-10-01

    With the ever-increasing amount of data being stored via social media, mobile telephony base stations, and network devices etc. the database systems face severe bandwidth bottlenecks when moving vast amounts of data from storage to the processing nodes. At the same time, Storage Class Memory (SCM) technologies such as Phase Change Memory (PCM) with unique features like fast read access, high density, non-volatility, byte-addressability, positive response to increasing temperature, superior scalability, and zero standby leakage have changed the landscape of modern computing and storage systems. In such a scenario, we present a storage system called FLEET which can off-load partial or whole SQL queries to the storage engine from CPU. FLEET uses an FPGA rather than conventional CPUs to implement the off-load engine due to its highly parallel nature. We have implemented an initial prototype of FLEET with PCM-based storage. The results demonstrate that significant performance and CPU utilization gains can be achieved by pushing selected query processing components inside in PCM-based storage.

  8. All-printed paper memory

    KAUST Repository

    He, Jr-Hau

    2016-08-11

    All-printed paper-based substrate memory devices are described. In an embodiment, a paper-based memory device is prepared by coating one or more areas of a paper substrate with a conductor material such as a carbon paste, to form a first electrode of a memory, depositing a layer of insulator material, such as titanium dioxide, over one or more areas of the conductor material, and depositing a layer of metal over one or more areas of the insulator material to form a second electrode of the memory. In an embodiment, the device can further include diodes printed between the insulator material and the second electrode, and the first electrode and the second electrodes can be formed as a crossbar structure to provide a WORM memory. The various layers and the diodes can be printed onto the paper substrate by, for example, an ink jet printer.

  9. Josephson Thermal Memory

    Science.gov (United States)

    Guarcello, Claudio; Solinas, Paolo; Braggio, Alessandro; Di Ventra, Massimiliano; Giazotto, Francesco

    2018-01-01

    We propose a superconducting thermal memory device that exploits the thermal hysteresis in a flux-controlled temperature-biased superconducting quantum-interference device (SQUID). This system reveals a flux-controllable temperature bistability, which can be used to define two well-distinguishable thermal logic states. We discuss a suitable writing-reading procedure for these memory states. The time of the memory writing operation is expected to be on the order of approximately 0.2 ns for a Nb-based SQUID in thermal contact with a phonon bath at 4.2 K. We suggest a noninvasive readout scheme for the memory states based on the measurement of the effective resonance frequency of a tank circuit inductively coupled to the SQUID. The proposed device paves the way for a practical implementation of thermal logic and computation. The advantage of this proposal is that it represents also an example of harvesting thermal energy in superconducting circuits.

  10. High frequency electromechanical memory cells based on telescoping carbon nanotubes.

    Science.gov (United States)

    Popov, A M; Lozovik, Y E; Kulish, A S; Bichoutskaia, E

    2010-07-01

    A new method to increase the operational frequency of electromechanical memory cells based on the telescoping motion of multi-walled carbon nanotubes through the selection of the form of the switching voltage pulse is proposed. The relative motion of the walls of carbon nanotubes can be controlled through the shape of the interwall interaction energy surface. This allows the use of the memory cells in nonvolatile or volatile regime, depending on the structure of carbon nanotube. Simulations based on ab initio and semi-empirical calculations of the interwall interaction energies are used to estimate the switching voltage and the operational frequency of volatile cells with the electrodes made of carbon nanotubes. The lifetime of nonvolatile memory cells is also predicted.

  11. A New Concept for Non-Volatile Memory: The Electric-Pulse Induced Resistive Change Effect in Colossal Magnetoresistive Thin Films

    Science.gov (United States)

    Liu, S. Q.; Wu, N. J.; Ignatiev, A.

    2001-01-01

    A novel electric pulse-induced resistive change (EPIR) effect has been found in thin film colossal magnetoresistive (CMR) materials, and has shown promise for the development of resistive, nonvolatile memory. The EPIR effect is induced by the application of low voltage (resistance of the thin film sample depending on pulse polarity. The sample resistance change has been shown to be over two orders of magnitude, and is nonvolatile after pulsing. The sample resistance can also be changed through multiple levels - as many as 50 have been shown. Such a device can provide a way for the development of a new kind of nonvolatile multiple-valued memory with high density, fast write/read speed, low power-consumption, and potential high radiation-hardness.

  12. System and method for programmable bank selection for banked memory subsystems

    Energy Technology Data Exchange (ETDEWEB)

    Blumrich, Matthias A. (Ridgefield, CT); Chen, Dong (Croton on Hudson, NY); Gara, Alan G. (Mount Kisco, NY); Giampapa, Mark E. (Irvington, NY); Hoenicke, Dirk (Seebruck-Seeon, DE); Ohmacht, Martin (Yorktown Heights, NY); Salapura, Valentina (Chappaqua, NY); Sugavanam, Krishnan (Mahopac, NY)

    2010-09-07

    A programmable memory system and method for enabling one or more processor devices access to shared memory in a computing environment, the shared memory including one or more memory storage structures having addressable locations for storing data. The system comprises: one or more first logic devices associated with a respective one or more processor devices, each first logic device for receiving physical memory address signals and programmable for generating a respective memory storage structure select signal upon receipt of pre-determined address bit values at selected physical memory address bit locations; and, a second logic device responsive to each of the respective select signal for generating an address signal used for selecting a memory storage structure for processor access. The system thus enables each processor device of a computing environment memory storage access distributed across the one or more memory storage structures.

  13. Exponential increase in the on-off ratio of conductance in organic memory devices by controlling the surface morphology of the devices

    Science.gov (United States)

    Vyas, Giriraj; Dagar, Parveen; Sahu, Satyajit

    2018-05-01

    We have shown an exponential increase in the ratio of conductance in the on and off states of switching devices by controlling the surface morphology of the thin films for the device by depositing at different rotational speeds. The pinholes which are preferred topography on the surface at higher rotational speed give rise to higher on-off ratio of current from the devices fabricated at the speed. The lower rotational speed contributes to higher thickness of the film and hence no switching. For thicker films, the domain is formed due to phase segregation between the two components in the film, which also indicates that the film is far from thermal equilibrium. At higher speed, there is very little scope of segregation when the film is drying up. Hence, there are only few pinholes on the surface of the film which are shallow. So, the filamentary mechanism of switching in memory devices can be firmly established by varying the speed of thin film deposition which leads to phase segregation of the materials. Thus, the formation of filament can be regulated by controlling the thickness and the surface morphology.

  14. Single-event phenomena on recent semiconductor devices. Charge-type multiple-bit upsets in high integrated memories

    International Nuclear Information System (INIS)

    Makihara, Akiko; Shindou, Hiroyuki; Nemoto, Norio; Kuboyama, Satoshi; Matsuda, Sumio; Ohshima, Takeshi; Hirao, Toshio; Itoh, Hisayoshi

    2001-01-01

    High integrated memories are used in solid state data recorder (SSDR) of the satellite for accumulating observation data. Single event upset phenomena which turn over an accumulated data in the memory cells are caused by heavy ion incidence. Studies on single-bit upset and multiple-bit upset phenomena in the high integrated memory cells are in progress recently. 16 Mbit DRAM (Dynamic Random Access Memories) and 64 Mbit DRAM are irradiated by heavy ion species, such as iodine, bromine and nickel, in comparison with the irradiation damage in the cosmic environment. Data written on the memory devices are read out after the irradiation. The memory cells in three kinds of states, all of charged state, all of discharged state, and an alternative state of charge and discharge, are irradiated for sorting out error modes caused by heavy ion incidence. The soft error in a single memory cells is known as a turn over from charged state to discharged state. Electrons in electron-hole pair generated by heavy ion incidence are captured in a diffusion region between capacitor electrodes of semiconductor. The charged states in the capacitor electrodes before the irradiation are neutralized and changed to the discharged states. According to high integration of the memories, many of the cells are affected by a single ion incidence. The multiple-bit upsets, however, are generated in the memory cells of discharged state before the irradiation, also. The charge-type multiple-bit upsets is considered as that error data are written on the DRAM during refresh cycle of a sense-up circuit and a pre-charge circuit which control the DRAM. (M. Suetake)

  15. Results from On-Orbit Testing of the Fram Memory Test Experiment on the Fastsat Micro-Satellite

    Science.gov (United States)

    MacLeod, Todd C.; Sims, W. Herb; Varnavas, Kosta A.; Ho, Fat D.

    2011-01-01

    NASA is planning on going beyond Low Earth orbit with manned exploration missions. The radiation environment for most Low Earth orbit missions is harsher than at the Earth's surface but much less harsh than deep space. Development of new electronics is needed to meet the requirements of high performance, radiation tolerance, and reliability. The need for both Volatile and Non-volatile memory has been identified. Emerging Non-volatile memory technologies (FRAM, C-RAM,M-RAM, R-RAM, Radiation Tolerant FLASH, SONOS, etc.) need to be investigated for use in Space missions. An opportunity arose to fly a small memory experiment on a high inclination satellite (FASTSAT). An off-the-shelf 512K Ramtron FRAM was chosen to be tested in the experiment.

  16. Revisiting the long memory dynamics of implied-realized volatility relation: A new evidence from wavelet band spectrum regression

    OpenAIRE

    Barunik, Jozef; Barunikova, Michaela

    2015-01-01

    This paper revisits the fractional co-integrating relationship between ex-ante implied volatility and ex-post realized volatility. Previous studies on stock index options have found biases and inefficiencies in implied volatility as a forecast of future volatility. It is argued that the concept of corridor implied volatility (CIV) should be used instead of the popular model-free option-implied volatility (MFIV) when assessing the relation as the latter may introduce bias to the estimation. In...

  17. On fractality and chaos in Moroccan family business stock returns and volatility

    Science.gov (United States)

    Lahmiri, Salim

    2017-05-01

    The purpose of this study is to examine existence of fractality and chaos in returns and volatilities of family business companies listed on the Casablanca Stock Exchange (CSE) in Morocco, and also in returns and volatility of the CSE market index. Detrended fluctuation analysis based Hurst exponent and fractionally integrated generalized autoregressive conditional heteroskedasticity (FIGARCH) model are used to quantify fractality in returns and volatility time series respectively. Besides, the largest Lyapunov exponent is employed to quantify chaos in both time series. The empirical results from sixteen family business companies follow. For return series, fractality analysis show that most of family business returns listed on CSE exhibit anti-persistent dynamics, whilst market returns have persistent dynamics. Besides, chaos tests show that business family stock returns are not chaotic while market returns exhibit evidence of chaotic behaviour. For volatility series, fractality analysis shows that most of family business stocks and market index exhibit long memory in volatility. Furthermore, results from chaos tests show that volatility of family business returns is not chaotic, whilst volatility of market index is chaotic. These results may help understanding irregularities patterns in Moroccan family business stock returns and volatility, and how they are different from market dynamics.

  18. Magnetic vortex racetrack memory

    Energy Technology Data Exchange (ETDEWEB)

    Geng, Liwei D.; Jin, Yongmei M., E-mail: ymjin@mtu.edu

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications. - Highlights: • Advance fundamental knowledge of current-driven magnetic vortex phenomena. • Report appealing new magnetic racetrack memory based on current-controlled magnetic vortices in nanowires. • Provide a novel approach to adjust current magnitude for data propagation. • Overcome the limitations of domain wall racetrack memory.

  19. Electrical bistabilities and memory mechanisms of nonvolatile organic bistable devices based on exfoliated muscovite-type mica nanoparticle/poly(methylmethacrylate) nanocomposites

    Science.gov (United States)

    Lim, Won Gyu; Lee, Dea Uk; Na, Han Gil; Kim, Hyoun Woo; Kim, Tae Whan

    2018-02-01

    Organic bistable devices (OBDs) with exfoliated mica nanoparticles (NPs) embedded into an insulating poly(methylmethacrylate) (PMMA) layer were fabricated by using a spin-coating method. Current-voltage (I-V) curves for the Al/PMMA/exfoliated mica NP/PMMA/indium-tin-oxide/glass devices at 300 K showed a clockwise current hysteresis behavior due to the existence of the exfoliated muscovite-type mica NPs, which is an essential feature for bistable devices. Write-read-erase-read data showed that the OBDs had rewritable nonvolatile memories and an endurance number of ON/OFF switching for the OBDs of 102 cycles. An ON/OFF ratio of 1 × 103 was maintained for retention times larger than 1 × 104 s. The memory mechanisms of the fabricated OBDs were described by using the trapping and the tunneling processes within a PMMA active layer containing exfoliated muscovite-type mica NPs on the basis of the energy band diagram and the I-V curves.

  20. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu

    2013-05-20

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half-pitch without alignment issues. Depending on the different dry-etch mechanisms in transferring high and low density nanopatterns, suitable dry-etch angles and methods are studied for the transfer of high density nanopatterns. Some novel process methods have also been developed to eliminate the sidewall and other conversion obstacles for obtaining high density of uniform metallic nanopatterns. With these methods, ultrahigh density trilayer crossbar devices (∼2 × 1010 bit cm-2-kilobit electronic memory), which are composed of built-in practical magnetoresistive nanocells, have been achieved. This scalable process that we have developed provides the relevant industries with a cheap means to commercially fabricate three-dimensional high density metal-cell-metal nanodevices. © 2013 IOP Publishing Ltd.

  1. Configurable memory system and method for providing atomic counting operations in a memory device

    Science.gov (United States)

    Bellofatto, Ralph E.; Gara, Alan G.; Giampapa, Mark E.; Ohmacht, Martin

    2010-09-14

    A memory system and method for providing atomic memory-based counter operations to operating systems and applications that make most efficient use of counter-backing memory and virtual and physical address space, while simplifying operating system memory management, and enabling the counter-backing memory to be used for purposes other than counter-backing storage when desired. The encoding and address decoding enabled by the invention provides all this functionality through a combination of software and hardware.

  2. Stochastic model of financial markets reproducing scaling and memory in volatility return intervals

    Science.gov (United States)

    Gontis, V.; Havlin, S.; Kononovicius, A.; Podobnik, B.; Stanley, H. E.

    2016-11-01

    We investigate the volatility return intervals in the NYSE and FOREX markets. We explain previous empirical findings using a model based on the interacting agent hypothesis instead of the widely-used efficient market hypothesis. We derive macroscopic equations based on the microscopic herding interactions of agents and find that they are able to reproduce various stylized facts of different markets and different assets with the same set of model parameters. We show that the power-law properties and the scaling of return intervals and other financial variables have a similar origin and could be a result of a general class of non-linear stochastic differential equations derived from a master equation of an agent system that is coupled by herding interactions. Specifically, we find that this approach enables us to recover the volatility return interval statistics as well as volatility probability and spectral densities for the NYSE and FOREX markets, for different assets, and for different time-scales. We find also that the historical S&P500 monthly series exhibits the same volatility return interval properties recovered by our proposed model. Our statistical results suggest that human herding is so strong that it persists even when other evolving fluctuations perturbate the financial system.

  3. Phase change materials in non-volatile storage

    OpenAIRE

    Ielmini, Daniele; Lacaita, Andrea L.

    2011-01-01

    After revolutionizing the technology of optical data storage, phase change materials are being adopted in non-volatile semiconductor memories. Their success in electronic storage is mostly due to the unique properties of the amorphous state where carrier transport phenomena and thermally-induced phase change cooperate to enable high-speed, low-voltage operation and stable data retention possible within the same material. This paper reviews the key physical properties that make this phase so s...

  4. Scaling Techniques for Massive Scale-Free Graphs in Distributed (External) Memory

    KAUST Repository

    Pearce, Roger; Gokhale, Maya; Amato, Nancy M.

    2013-01-01

    We present techniques to process large scale-free graphs in distributed memory. Our aim is to scale to trillions of edges, and our research is targeted at leadership class supercomputers and clusters with local non-volatile memory, e.g., NAND Flash

  5. Electrical bistabilities and memory stabilities of nonvolatile bistable devices fabricated utilizing C60 molecules embedded in a polymethyl methacrylate layer

    International Nuclear Information System (INIS)

    Cho, Sung Hwan; Lee, Dong Ik; Jung, Jae Hun; Kim, Tae Whan

    2009-01-01

    Current-voltage (I-V) measurements on Al/fullerene (C 60 ) molecules embedded in polymethyl methacrylate/Al devices at 300 K showed a current bistability due to the existence of the C 60 molecules. The on/off ratio of the current bistability for the memory devices was as large as 10 3 . The retention time of the devices was above 2.5 x 10 4 s at room temperature, and cycling endurance tests on these devices indicated that the ON and OFF currents showed no degradation until 50 000 cycles. Carrier transport mechanisms for the nonvolatile bistable devices are described on the basis of the I-V experimental and fitting results.

  6. Oxygen-doped zirconium nitride based transparent resistive random access memory devices fabricated by radio frequency sputtering method

    International Nuclear Information System (INIS)

    Kim, Hee-Dong; Yun, Min Ju; Kim, Kyeong Heon; Kim, Sungho

    2016-01-01

    In this work, we present a feasibility of bipolar resistive switching (RS) characteristics for Oxygen-doped zirconium nitride (O-doped ZrN_x) films, produced by sputtering method, which shows a high optical transmittance of approximately 78% in the visible region as well as near ultra-violet region. In addition, in a RS test, the device has a large current ratio of 5 × 10"3 in positive bias region and 5 × 10"5 in negative bias region. Then, to evaluate an ability of data storage for the proposed memory devices, we measured a retention time for 10"4 s at room temperature (RT) and 85 °C as well. As a result, the set and reset states were stably maintained with a current ratio of ∼10"2 at 85 °C to ∼10"3 at RT. This result means that the transparent memory by controlling the working pressure during sputtering process to deposit the ZrN_x films could be a milestone for future see-through electronic devices. - Highlights: • The resistive switching characteristics of the transparent O-doped ZrN_x-based RRAM cells have investigated. • Oxygen doping concentration within ZrN_x is optimized using working pressure of sputter. • Long retention time were observed.

  7. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  8. A multi-level capacitor-less memory cell fabricated on a nano-scale strained silicon-on-insulator

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Kim, Seong-Je; Shin, Mi-Hee; Song, Seung-Hyun; Shim, Tae-Hun; Chung, Sung-Woong; Enomoto, Hirofumi

    2011-01-01

    A multi-level capacitor-less memory cell was fabricated with a fully depleted n-metal-oxide-semiconductor field-effect transistor on a nano-scale strained silicon channel on insulator (FD sSOI n-MOSFET). The 0.73% biaxial tensile strain in the silicon channel of the FD sSOI n-MOSFET enhanced the effective electron mobility to ∼ 1.7 times that with an unstrained silicon channel. This thereby enables both front- and back-gate cell operations, demonstrating eight-level volatile memory-cell operation with a 1 ms retention time and 12 μA memory margin. This is a step toward achieving a terabit volatile memory cell.

  9. Realization of synaptic learning and memory functions in Y2O3 based memristive device fabricated by dual ion beam sputtering

    Science.gov (United States)

    Das, Mangal; Kumar, Amitesh; Singh, Rohit; Than Htay, Myo; Mukherjee, Shaibal

    2018-02-01

    Single synaptic device with inherent learning and memory functions is demonstrated based on a forming-free amorphous Y2O3 (yttria) memristor fabricated by dual ion beam sputtering system. Synaptic functions such as nonlinear transmission characteristics, long-term plasticity, short-term plasticity and ‘learning behavior (LB)’ are achieved using a single synaptic device based on cost-effective metal-insulator-semiconductor (MIS) structure. An ‘LB’ function is demonstrated, for the first time in the literature, for a yttria based memristor, which bears a resemblance to certain memory functions of biological systems. The realization of key synaptic functions in a cost-effective MIS structure would promote much cheaper synapse for artificial neural network.

  10. Process control device

    International Nuclear Information System (INIS)

    Hayashi, Toshifumi; Kobayashi, Hiroshi.

    1994-01-01

    A process control device comprises a memory device for memorizing a plant operation target, a plant state or a state of equipments related with each other as control data, a read-only memory device for storing programs, a plant instrumentation control device or other process control devices, an input/output device for performing input/output with an operator, and a processing device which conducts processing in accordance with the program and sends a control demand or a display demand to the input/output device. The program reads out control data relative to a predetermined operation target, compares and verify them with actual values to read out control data to be a practice premise condition which is further to be a practice premise condition if necessary, thereby automatically controlling the plant or requiring or displaying input. Practice presuming conditions for the operation target can be examined succesively in accordance with the program without constituting complicated logical figures and AND/OR graphs. (N.H.)

  11. Calculation of neutron-induced single-event upset cross sections for semiconductor memory devices

    International Nuclear Information System (INIS)

    Ikeuchi, Taketo; Watanabe, Yukinobu; Nakashima, Hideki; Sun, Weili

    2001-01-01

    Neutron-induced single-event upset (SEU) cross sections for semiconductor memory devices are calculated by the Burst Generation Rate (BGR) method using LA150 data and QMD calculation in the neutron energy range between 20 MeV and 10 GeV. The calculated results are compared with the measured SEU cross sections for energies up to 160 MeV, and the validity of the calculation method and the nuclear data used is verified. The kind of reaction products and the neutron energy range that have the most effect on SEU are discussed. (author)

  12. Fast Initialization of Bubble-Memory Systems

    Science.gov (United States)

    Looney, K. T.; Nichols, C. D.; Hayes, P. J.

    1986-01-01

    Improved scheme several orders of magnitude faster than normal initialization scheme. State-of-the-art commercial bubble-memory device used. Hardware interface designed connects controlling microprocessor to bubblememory circuitry. System software written to exercise various functions of bubble-memory system in comparison made between normal and fast techniques. Future implementations of approach utilize E2PROM (electrically-erasable programable read-only memory) to provide greater system flexibility. Fastinitialization technique applicable to all bubble-memory devices.

  13. Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions

    KAUST Repository

    Huang, Yi-Jen; Chao, Shih-Chun; Lien, Der-Hsien; Wen, Cheng-Yen; He, Jr-Hau; Lee, Si-Chen

    2016-01-01

    The combination of nonvolatile memory switching and volatile threshold switching functions of transition metal oxides in crossbar memory arrays is of great potential for replacing charge-based flash memory in very-large-scale integration. Here, we

  14. Skin-Inspired Haptic Memory Arrays with an Electrically Reconfigurable Architecture.

    Science.gov (United States)

    Zhu, Bowen; Wang, Hong; Liu, Yaqing; Qi, Dianpeng; Liu, Zhiyuan; Wang, Hua; Yu, Jiancan; Sherburne, Matthew; Wang, Zhaohui; Chen, Xiaodong

    2016-02-24

    Skin-inspired haptic-memory devices, which can retain pressure information after the removel of external pressure by virtue of the nonvolatile nature of the memory devices, are achieved. The rise of haptic-memory devices will allow for mimicry of human sensory memory, opening new avenues for the design of next-generation high-performance sensing devices and systems. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. A Memristor as Multi-Bit Memory: Feasibility Analysis

    Directory of Open Access Journals (Sweden)

    O. Bass

    2015-06-01

    Full Text Available The use of emerging memristor materials for advanced electrical devices such as multi-valued logic is expected to outperform today's binary logic digital technologies. We show here an example for such non-binary device with the design of a multi-bit memory. While conventional memory cells can store only 1 bit, memristors-based multi-bit cells can store more information within single device thus increasing the information storage density. Such devices can potentially utilize the non-linear resistance of memristor materials for efficient information storage. We analyze the performance of such memory devices based on their expected variations in order to determine the viability of memristor-based multi-bit memory. A design of read/write scheme and a simple model for this cell, lay grounds for full integration of memristor multi-bit memory cell.

  16. A 3D Printed Implantable Device for Voiding the Bladder Using Shape Memory Alloy (SMA) Actuators.

    Science.gov (United States)

    Hassani, Faezeh Arab; Peh, Wendy Yen Xian; Gammad, Gil Gerald Lasam; Mogan, Roshini Priya; Ng, Tze Kiat; Kuo, Tricia Li Chuen; Ng, Lay Guat; Luu, Percy; Yen, Shih-Cheng; Lee, Chengkuo

    2017-11-01

    Underactive bladder or detrusor underactivity (DU) is defined as a reduction of contraction strength or duration of the bladder wall. Despite the serious healthcare implications of DU, there are limited solutions for affected individuals. A flexible 3D printed implantable device driven by shape memory alloys (SMA) actuators is presented here for the first time to physically contract the bladder to restore voluntary control of the bladder for individuals suffering from DU. This approach is used initially in benchtop experiments with a rubber balloon acting as a model for the rat bladder to verify its potential for voiding, and that the operating temperatures are safe for the eventual implantation of the device in a rat. The device is then implanted and tested on an anesthetized rat, and a voiding volume of more than 8% is successfully achieved for the SMA-based device without any surgical intervention or drug injection to relax the external sphincter.

  17. Volatility return intervals analysis of the Japanese market

    Science.gov (United States)

    Jung, W.-S.; Wang, F. Z.; Havlin, S.; Kaizoji, T.; Moon, H.-T.; Stanley, H. E.

    2008-03-01

    We investigate scaling and memory effects in return intervals between price volatilities above a certain threshold q for the Japanese stock market using daily and intraday data sets. We find that the distribution of return intervals can be approximated by a scaling function that depends only on the ratio between the return interval τ and its mean . We also find memory effects such that a large (or small) return interval follows a large (or small) interval by investigating the conditional distribution and mean return interval. The results are similar to previous studies of other markets and indicate that similar statistical features appear in different financial markets. We also compare our results between the period before and after the big crash at the end of 1989. We find that scaling and memory effects of the return intervals show similar features although the statistical properties of the returns are different.

  18. Metabolism of 210Po in rats: Volatile 210Po from faeces

    International Nuclear Information System (INIS)

    Sadi, B. B.; Li, C.; Wyatt, H.; Bugden, M.; Wilkinson, D.; Kramer, G.

    2011-01-01

    The metabolic formation of volatile 210 Po species in a rat that was intravenously administered with 210 Po-citrate was investigated in this study. A slurry of the faecal sample was prepared in water and was bubbled with nitrogen gas in a closed system. The discharged gas was passed through a trapping device filled with liquid scintillation cocktail in order to capture any volatile 210 Po species. The amount of 210 Po trapped in the scintillation cocktail was measured by a liquid scintillation analyser that provided evidence of the presence of volatile 210 Po species in the faeces. The presence of volatile 210 Po in the faeces indicates that the metabolic formation of volatile 210 Po is likely to occur in the gut due to bacterial activity. The amount of volatile 210 Po species was compared with the daily faecal excretion of 210 Po. After 2 h of bubbling, the volatile 210 Po collected from the faeces sample was found to be between 1.0 and 1.7 % of the daily faecal excretion for the 4 d following 210 Po-citrate administration. (authors)

  19. Parallel database search and prime factorization with magnonic holographic memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Khitun, Alexander [Electrical and Computer Engineering Department, University of California - Riverside, Riverside, California 92521 (United States)

    2015-12-28

    In this work, we describe the capabilities of Magnonic Holographic Memory (MHM) for parallel database search and prime factorization. MHM is a type of holographic device, which utilizes spin waves for data transfer and processing. Its operation is based on the correlation between the phases and the amplitudes of the input spin waves and the output inductive voltage. The input of MHM is provided by the phased array of spin wave generating elements allowing the producing of phase patterns of an arbitrary form. The latter makes it possible to code logic states into the phases of propagating waves and exploit wave superposition for parallel data processing. We present the results of numerical modeling illustrating parallel database search and prime factorization. The results of numerical simulations on the database search are in agreement with the available experimental data. The use of classical wave interference may results in a significant speedup over the conventional digital logic circuits in special task data processing (e.g., √n in database search). Potentially, magnonic holographic devices can be implemented as complementary logic units to digital processors. Physical limitations and technological constrains of the spin wave approach are also discussed.

  20. Parallel database search and prime factorization with magnonic holographic memory devices

    Science.gov (United States)

    Khitun, Alexander

    2015-12-01

    In this work, we describe the capabilities of Magnonic Holographic Memory (MHM) for parallel database search and prime factorization. MHM is a type of holographic device, which utilizes spin waves for data transfer and processing. Its operation is based on the correlation between the phases and the amplitudes of the input spin waves and the output inductive voltage. The input of MHM is provided by the phased array of spin wave generating elements allowing the producing of phase patterns of an arbitrary form. The latter makes it possible to code logic states into the phases of propagating waves and exploit wave superposition for parallel data processing. We present the results of numerical modeling illustrating parallel database search and prime factorization. The results of numerical simulations on the database search are in agreement with the available experimental data. The use of classical wave interference may results in a significant speedup over the conventional digital logic circuits in special task data processing (e.g., √n in database search). Potentially, magnonic holographic devices can be implemented as complementary logic units to digital processors. Physical limitations and technological constrains of the spin wave approach are also discussed.

  1. Parallel database search and prime factorization with magnonic holographic memory devices

    International Nuclear Information System (INIS)

    Khitun, Alexander

    2015-01-01

    In this work, we describe the capabilities of Magnonic Holographic Memory (MHM) for parallel database search and prime factorization. MHM is a type of holographic device, which utilizes spin waves for data transfer and processing. Its operation is based on the correlation between the phases and the amplitudes of the input spin waves and the output inductive voltage. The input of MHM is provided by the phased array of spin wave generating elements allowing the producing of phase patterns of an arbitrary form. The latter makes it possible to code logic states into the phases of propagating waves and exploit wave superposition for parallel data processing. We present the results of numerical modeling illustrating parallel database search and prime factorization. The results of numerical simulations on the database search are in agreement with the available experimental data. The use of classical wave interference may results in a significant speedup over the conventional digital logic circuits in special task data processing (e.g., √n in database search). Potentially, magnonic holographic devices can be implemented as complementary logic units to digital processors. Physical limitations and technological constrains of the spin wave approach are also discussed

  2. Nanometer-scale temperature imaging for independent observation of Joule and Peltier effects in phase change memory devices.

    Science.gov (United States)

    Grosse, Kyle L; Pop, Eric; King, William P

    2014-09-01

    This paper reports a technique for independent observation of nanometer-scale Joule heating and thermoelectric effects, using atomic force microscopy (AFM) based measurements of nanometer-scale temperature fields. When electrical current flows through nanoscale devices and contacts the temperature distribution is governed by both Joule and thermoelectric effects. When the device is driven by an electrical current that is both periodic and bipolar, the temperature rise due to the Joule effect is at a different harmonic than the temperature rise due to the Peltier effect. An AFM tip scanning over the device can simultaneously measure all of the relevant harmonic responses, such that the Joule effect and the Peltier effect can be independently measured. Here we demonstrate the efficacy of the technique by measuring Joule and Peltier effects in phase change memory devices. By comparing the observed temperature responses of these working devices, we measure the device thermopower, which is in the range of 30 ± 3 to 250 ± 10 μV K(-1). This technique could facilitate improved measurements of thermoelectric phenomena and properties at the nanometer-scale.

  3. Nanometer-scale temperature imaging for independent observation of Joule and Peltier effects in phase change memory devices

    Energy Technology Data Exchange (ETDEWEB)

    Grosse, Kyle L. [Department of Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois 61801 (United States); Pop, Eric [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); King, William P., E-mail: wpk@illinois.edu [Department of Mechanical Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois 61801 (United States); Departments of Electrical and Computer Engineering and Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois 61801 (United States)

    2014-09-15

    This paper reports a technique for independent observation of nanometer-scale Joule heating and thermoelectric effects, using atomic force microscopy (AFM) based measurements of nanometer-scale temperature fields. When electrical current flows through nanoscale devices and contacts the temperature distribution is governed by both Joule and thermoelectric effects. When the device is driven by an electrical current that is both periodic and bipolar, the temperature rise due to the Joule effect is at a different harmonic than the temperature rise due to the Peltier effect. An AFM tip scanning over the device can simultaneously measure all of the relevant harmonic responses, such that the Joule effect and the Peltier effect can be independently measured. Here we demonstrate the efficacy of the technique by measuring Joule and Peltier effects in phase change memory devices. By comparing the observed temperature responses of these working devices, we measure the device thermopower, which is in the range of 30 ± 3 to 250 ± 10 μV K{sup −1}. This technique could facilitate improved measurements of thermoelectric phenomena and properties at the nanometer-scale.

  4. System of programming units for the K556RT4 and K556RT5 fixed programmed memory devices

    International Nuclear Information System (INIS)

    Bobkov, S.G.; Ermolin, Yu.V.; Kantserov, V.A.; Strigin, V.B.

    1983-01-01

    The programming system of constant programmable memory devices K556RT4 and K556RT5 that consist of two units (a programming device and an electrothermotraining unit) is described. The modules are made in the KAMAK standard. The programming device takes up 2 normal places, while the electrothermotraining block takes up 1 place. As information recording is done using a computer the time for programming is reduced and the possibility of errors is limited as compared with the manual method. The computer introduces the whole word to be recorded, not the separate parts, in the programming device. The transition to a new digit of a given word in the programming device is done automatically. This reduces the expense of computer time and accelerates the programming of microdiagrams

  5. Memory behaviour in a radiation environment

    International Nuclear Information System (INIS)

    Brucker, G.J.; Thurlow, L.

    1979-01-01

    Memory devices are often required for storage of data which must not be altered during a nuclear burst. If the properties of non-alterability and low power consumption during a standby mode of operation are combined, then the choice is narrowed down to static C-MOS bulk or silicon-on-sapphire (SOS) memories. Previous investigations have indicated that the SOS devices will achieve the maximum non-scrambling dose rate. However, it is interesting to determine the limitations of bulk as well as SOS devices for those programs where circumvention and refreshing of the memory is allowed. This article will present the results of an investigation of the characteristics of these memory types in a transient environment. (author)

  6. Oxygen-doped zirconium nitride based transparent resistive random access memory devices fabricated by radio frequency sputtering method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hee-Dong, E-mail: khd0708@sejong.ac.kr [Department of Electrical Engineering, Sejong University, Neungdong-ro 209, Gwangjin-gu, Seoul 143-747 (Korea, Republic of); Yun, Min Ju [Department of Electrical Engineering, Sejong University, Neungdong-ro 209, Gwangjin-gu, Seoul 143-747 (Korea, Republic of); Kim, Kyeong Heon [School of Electrical Engineering, Korea University, Anam-dong, Sungbuk-gu, Seoul 163-701 (Korea, Republic of); Kim, Sungho, E-mail: sungho85.kim@sejong.ac.kr [Department of Electrical Engineering, Sejong University, Neungdong-ro 209, Gwangjin-gu, Seoul 143-747 (Korea, Republic of)

    2016-08-05

    In this work, we present a feasibility of bipolar resistive switching (RS) characteristics for Oxygen-doped zirconium nitride (O-doped ZrN{sub x}) films, produced by sputtering method, which shows a high optical transmittance of approximately 78% in the visible region as well as near ultra-violet region. In addition, in a RS test, the device has a large current ratio of 5 × 10{sup 3} in positive bias region and 5 × 10{sup 5} in negative bias region. Then, to evaluate an ability of data storage for the proposed memory devices, we measured a retention time for 10{sup 4} s at room temperature (RT) and 85 °C as well. As a result, the set and reset states were stably maintained with a current ratio of ∼10{sup 2} at 85 °C to ∼10{sup 3} at RT. This result means that the transparent memory by controlling the working pressure during sputtering process to deposit the ZrN{sub x} films could be a milestone for future see-through electronic devices. - Highlights: • The resistive switching characteristics of the transparent O-doped ZrN{sub x}-based RRAM cells have investigated. • Oxygen doping concentration within ZrN{sub x} is optimized using working pressure of sputter. • Long retention time were observed.

  7. [Effects of Total Ginsenosides and Volatile Oil of Acorus tatarinowii Co-Administration on Ability of Learning and Memory and Apoptosis in Alzheimer's Disease Mice Model Induced By D-Galactose and Aluminium Chloride].

    Science.gov (United States)

    Deng, Min-zhen; Huang, Li-ping; Fang, Yong-qi

    2015-05-01

    To observe the effects of the co-administration of total ginsenosides and volatile oil of Acorus tatarinowii on the ability of learning and memory and apoptosis in Alzheimer's disease (AD) mice model induced by D-galactose and aluminium chloride. 50 Kunming (KM) mice were randomly divided into normal group, model group, Aricept group (1 mg/kg), Ding Zhi Wan group (10 g/kg) and co-administration of total ginsenosides and volatile oil of Acorus tatarinowii group (co-administered group, the doses of volatile oil of Acorus tatarinowii and total ginsenosides were 30 mg/kg and 150 mg/kg, respectively). In addition to normal group, mice in other groups were given D-galactose 150 mg/ (kg x d), ip, and aluminium chloride 5 mg/kg, ig, once daily for 40 days. At the same time, mice in the treated groups were administrated with the corresponding drug from the 20th day after the modeling, once daily for 40 days. Water maze and avoiding darkness experiments were used to test learning and memory abilities; Aβ1-42 and BCL-2 content in cortex and hippocampus were detected by ELISA; the vitalities of acetyl cholinesterase ( AChE) and acetylcholine transferase (ChAT) were detected by ultraviolet spectrophotometry. Superoxide dismutase (SOD) vitalities were detected by a water-soluble tetrazolium salt (WST-1) method; the content of malondialdehyde ( MDA) in cortex and hippocampus were detected by the thiobarbituric acid (TBA) method; senile plaque on Aβ1-42 precipitation were observed by immunohistochemistry; brain tissues were observed by hematoxylin-eosin staining (HE). As compared with model group, in the co-administered group, the time of AD mice swimming, the numbers of blind area and electric shock reduced significantly (P < 0.05), and the latent period was prolonged (P < 0.05); AChE activity and levels of Aβ1-42 and MDA in cortex and hippocampus were decreased significantly (P < 0.05 or P < 0.01); ChAT and SOD activities as well as BCL-2 content were increased significantly

  8. All-polymer bistable resistive memory device based on nanoscale phase-separated PCBM-ferroelectric blends

    KAUST Repository

    Khan, Yasser

    2012-11-21

    All polymer nonvolatile bistable memory devices are fabricated from blends of ferroelectric poly(vinylidenefluoride-trifluoroethylene (P(VDF-TrFE)) and n-type semiconducting [6,6]-phenyl-C61-butyric acid methyl ester (PCBM). The nanoscale phase separated films consist of PCBM domains that extend from bottom to top electrode, surrounded by a ferroelectric P(VDF-TrFE) matrix. Highly conducting poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) polymer electrodes are used to engineer band offsets at the interfaces. The devices display resistive switching behavior due to modulation of this injection barrier. With careful optimization of the solvent and processing conditions, it is possible to spin cast very smooth blend films (Rrms ≈ 7.94 nm) and with good reproducibility. The devices exhibit high Ion/I off ratios (≈3 × 103), low read voltages (≈5 V), excellent dielectric response at high frequencies (Ïμr ≈ 8.3 at 1 MHz), and excellent retention characteristics up to 10 000 s. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Metallic spintronic devices

    CERN Document Server

    Wang, Xiaobin

    2014-01-01

    Metallic Spintronic Devices provides a balanced view of the present state of the art of metallic spintronic devices, addressing both mainstream and emerging applications from magnetic tunneling junction sensors and spin torque oscillators to spin torque memory and logic. Featuring contributions from well-known and respected industrial and academic experts, this cutting-edge work not only presents the latest research and developments but also: Describes spintronic applications in current and future magnetic recording devicesDiscusses spin-transfer torque magnetoresistive random-access memory (STT-MRAM) device architectures and modelingExplores prospects of STT-MRAM scaling, such as detailed multilevel cell structure analysisInvestigates spintronic device write and read optimization in light of spintronic memristive effectsConsiders spintronic research directions based on yttrium iron garnet thin films, including spin pumping, magnetic proximity, spin hall, and spin Seebeck effectsProposes unique solutions for ...

  10. The properties of realized volatility and realized correlation: Evidence from the Indian stock market

    Science.gov (United States)

    Gkillas (Gillas), Konstantinos; Vortelinos, Dimitrios I.; Saha, Shrabani

    2018-02-01

    This paper investigates the properties of realized volatility and correlation series in the Indian stock market by employing daily data converting to monthly frequency of five different stock indices from January 2, 2006 to November 30, 2014. Using non-parametric estimation technique the properties examined include normality, long-memory, asymmetries, jumps, and heterogeneity. The realized volatility is a useful technique which provides a relatively accurate measure of volatility based on the actual variance which is beneficial for asset management in particular for non-speculative funds. The results show that realized volatility and correlation series are not normally distributed, with some evidence of persistence. Asymmetries are also evident in both volatilities and correlations. Both jumps and heterogeneity properties are significant; whereas, the former is more significant than the latter. The findings show that properties of volatilities and correlations in Indian stock market have similarities as that show in the stock markets in developed countries such as the stock market in the United States which is more prevalent for speculative business traders.

  11. Introduction to the special issue on visual working memory.

    Science.gov (United States)

    Wolfe, Jeremy M

    2014-10-01

    Visual working memory is a volatile, limited-capacity memory that appears to play an important role in our impression of a visual world that is continuous in time. It also mediates between the contents of the mind and the contents of that visual world. Research on visual working memory has become increasingly prominent in recent years. The articles in this special issue of Attention, Perception, & Psychophysics describe new empirical findings and theoretical understandings of the topic.

  12. Low-cost fabrication and polar-dependent switching uniformity of memory devices using alumina interfacial layer and Ag nanoparticle monolayer

    Directory of Open Access Journals (Sweden)

    Peng Xia

    2017-11-01

    Full Text Available A facile and low-cost process was developed for fabricating write-once-read-many-times (WORM Cu/Ag NPs/Alumina/Al memory devices, where the alumina passivation layer formed naturally in air at room temperature, whereas the Ag nanoparticle monolayer was in situ prepared through thermal annealing of a 4.5 nm Ag film in air at 150°C. The devices exhibit irreversible transition from initial high resistance (OFF state to low resistance (ON state, with ON/OFF ratio of 107, indicating the introduction of Ag nanoparticle monolayer greatly improves ON/OFF ratio by four orders of magnitude. The uniformity of threshold voltages exhibits a polar-dependent behavior, and a narrow range of threshold voltages of 0.40 V among individual devices was achieved upon the forward voltage. The memory device can be regarded as two switching units connected in series. The uniform alumina interfacial layer and the non-uniform distribution of local electric fields originated from Ag nanoparticles might be responsible for excellent switching uniformity. Since silver ions in active layer can act as fast ion conductor, a plausible mechanism relating to the formation of filaments sequentially among the two switching units connected in series is suggested for the polar-dependent switching behavior. Furthermore, we demonstrate both alumina layer and Ag NPs monolayer play essential roles in improving switching parameters based on comparative experiments.

  13. Low-cost fabrication and polar-dependent switching uniformity of memory devices using alumina interfacial layer and Ag nanoparticle monolayer

    Science.gov (United States)

    Xia, Peng; Li, Luman; Wang, Pengfei; Gan, Ying; Xu, Wei

    2017-11-01

    A facile and low-cost process was developed for fabricating write-once-read-many-times (WORM) Cu/Ag NPs/Alumina/Al memory devices, where the alumina passivation layer formed naturally in air at room temperature, whereas the Ag nanoparticle monolayer was in situ prepared through thermal annealing of a 4.5 nm Ag film in air at 150°C. The devices exhibit irreversible transition from initial high resistance (OFF) state to low resistance (ON) state, with ON/OFF ratio of 107, indicating the introduction of Ag nanoparticle monolayer greatly improves ON/OFF ratio by four orders of magnitude. The uniformity of threshold voltages exhibits a polar-dependent behavior, and a narrow range of threshold voltages of 0.40 V among individual devices was achieved upon the forward voltage. The memory device can be regarded as two switching units connected in series. The uniform alumina interfacial layer and the non-uniform distribution of local electric fields originated from Ag nanoparticles might be responsible for excellent switching uniformity. Since silver ions in active layer can act as fast ion conductor, a plausible mechanism relating to the formation of filaments sequentially among the two switching units connected in series is suggested for the polar-dependent switching behavior. Furthermore, we demonstrate both alumina layer and Ag NPs monolayer play essential roles in improving switching parameters based on comparative experiments.

  14. BLACKCOMB2: Hardware-software co-design for non-volatile memory in exascale systems

    Energy Technology Data Exchange (ETDEWEB)

    Mudge, Trevor [Univ. of Michigan, Ann Arbor, MI (United States)

    2017-12-15

    This work was part of a larger project, Blackcomb2, centered at Oak Ridge National Labs (Jeff Vetter PI) to investigate the opportunities for replacing or supplementing DRAM main memory with nonvolatile memory (NVmemory) in Exascale memory systems. The goal was to reduce the energy consumed by in future supercomputer memory systems and to improve their resiliency. Building on the accomplishments of the original Blackcomb Project, funded in 2010, the goal for Blackcomb2 was to identify, evaluate, and optimize the most promising emerging memory technologies, architecture hardware and software technologies, which are essential to provide the necessary memory capacity, performance, resilience, and energy efficiency in Exascale systems. Capacity and energy are the key drivers.

  15. Near-field NanoThermoMechanical memory

    International Nuclear Information System (INIS)

    Elzouka, Mahmoud; Ndao, Sidy

    2014-01-01

    In this letter, we introduce the concept of NanoThermoMechanical Memory. Unlike electronic memory, a NanoThermoMechanical memory device uses heat instead of electricity to record, store, and recover data. Memory function is achieved through the coupling of near-field thermal radiation and thermal expansion resulting in negative differential thermal resistance and thermal latching. Here, we demonstrate theoretically via numerical modeling the concept of near-field thermal radiation enabled negative differential thermal resistance that achieves bistable states. Design and implementation of a practical silicon based NanoThermoMechanical memory device are proposed along with a study of its dynamic response under write/read cycles. With more than 50% of the world's energy losses being in the form of heat along with the ever increasing need to develop computer technologies which can operate in harsh environments (e.g., very high temperatures), NanoThermoMechanical memory and logic devices may hold the answer

  16. Predictable dynamics in implied volatility smirk slope : evidence from the S&P 500 options

    OpenAIRE

    Onan, Mustafa

    2012-01-01

    Ankara : The Department of Management, İhsan Doğramacı Bilkent University, 2012. Thesis (Master's) -- Bilkent University, 2012. Includes bibliographical references. This study aims to investigate whether there are predictable patterns in the dynamics of implied volatility smirk slopes extracted from the intraday market prices of S&P 500 index options. I compare forecasts obtained from a short memory ARMA model and a long memory ARFIMA model within an out-of-sample context ov...

  17. In-memory interconnect protocol configuration registers

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  18. In-memory interconnect protocol configuration registers

    Science.gov (United States)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  19. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    Science.gov (United States)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  20. Non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell of a non-volatile one

    NARCIS (Netherlands)

    Widdershoven, Franciscus P.; Annema, Anne J.; Storms, Maurits M.N.; Pelgrom, Marcellinus J.M.; Pelgrom, Marcel J M

    2001-01-01

    A non-volatile, random access memory cell comprises first and second inverters each having an output node cross-coupled by cross-coupling means to an input node of the other inverter for forming a MOS RAM cell. The output node of each inverter is selectively connected via the conductor paths of