WorldWideScience

Sample records for vliw integer microprocessor

  1. mAgic-FPU and MADE: A customizable VLIW core and the modular VLIW processor architecture description environment

    Science.gov (United States)

    Paolucci, Pier S.; Kajfasz, Philippe; Bonnot, Philippe; Candaele, Bernard; Maufroid, Daniel; Pastorelli, Elena; Ricciardi, Andrea; Fusella, Yves; Guarino, Eugenio

    2001-09-01

    mAgic-FPU is the architecture of a family of VLIW cores for configurable system level integration of floating and fixed point computing power. mAgic customization permits the designer to tune basic parameters, such as the computing power/memory access ratio of the core processor, the number of available arithmetic operation per cycle, the register file size and number of port, as well as of the number of arithmetic operators. The reconfiguration (e.g., of register file size and number of port, as well as of the number of arithmetic operators) is supported by the software environment MADE (Modular VLIW processor Architecture and Assembler Description Environment). MADE reads an architecture description file and produces a customized assembler-scheduler for the target VLIW architecture, configuring a general purpose VLIW optimizer-scheduler engine. The mAgic-FPU core architecture satisfies the requisite of portability among silicon foundries. The first members of the mAgic FPU core family architecture fit the requirements of 'Smart Antenna for Adaptive Beam-Forming processing' and 'Physical Sound Synthesis'. The first 1 GigaFlops mAgic core will run at 100 MHz within an area of 40 mm 2 in 0.25 μm ATMEL CMOS technology in first half 2002.

  2. Reconfigurable VLIW Processor for Software Defined Radio, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We will design and formally verify a VLIW processor that is radiation-hardened, and where the VLIW instructions consist of predicated RISC instructions from the...

  3. ''NICRO'' microprogramming language for sectional microprocessors

    International Nuclear Information System (INIS)

    Semenov, Yu.A.; Chudakov, V.N.

    1982-01-01

    ''MICRO'' microprogramming input language developed for sectional microprocessors is described. The structure of micromanual, purpose of particular fields, the corresponding mne-- mocodes and requirements they have to meet are considered. Program for integer division with a sign written in the ''MICRO'' language is given as an example. The possibilities of modif ying the translator for its adaptation to different types of processor and microprocessor sets are analyzed

  4. Optimizing Instruction Scheduling and Register Allocation for Register-File-Connected Clustered VLIW Architectures

    Science.gov (United States)

    Tang, Haijing; Wang, Siye; Zhang, Yanjun

    2013-01-01

    Clustering has become a common trend in very long instruction words (VLIW) architecture to solve the problem of area, energy consumption, and design complexity. Register-file-connected clustered (RFCC) VLIW architecture uses the mechanism of global register file to accomplish the inter-cluster data communications, thus eliminating the performance and energy consumption penalty caused by explicit inter-cluster data move operations in traditional bus-connected clustered (BCC) VLIW architecture. However, the limit number of access ports to the global register file has become an issue which must be well addressed; otherwise the performance and energy consumption would be harmed. In this paper, we presented compiler optimization techniques for an RFCC VLIW architecture called Lily, which is designed for encryption systems. These techniques aim at optimizing performance and energy consumption for Lily architecture, through appropriate manipulation of the code generation process to maintain a better management of the accesses to the global register file. All the techniques have been implemented and evaluated. The result shows that our techniques can significantly reduce the penalty of performance and energy consumption due to access port limitation of global register file. PMID:23970841

  5. Optimizing Instruction Scheduling and Register Allocation for Register-File-Connected Clustered VLIW Architectures

    Directory of Open Access Journals (Sweden)

    Haijing Tang

    2013-01-01

    Full Text Available Clustering has become a common trend in very long instruction words (VLIW architecture to solve the problem of area, energy consumption, and design complexity. Register-file-connected clustered (RFCC VLIW architecture uses the mechanism of global register file to accomplish the inter-cluster data communications, thus eliminating the performance and energy consumption penalty caused by explicit inter-cluster data move operations in traditional bus-connected clustered (BCC VLIW architecture. However, the limit number of access ports to the global register file has become an issue which must be well addressed; otherwise the performance and energy consumption would be harmed. In this paper, we presented compiler optimization techniques for an RFCC VLIW architecture called Lily, which is designed for encryption systems. These techniques aim at optimizing performance and energy consumption for Lily architecture, through appropriate manipulation of the code generation process to maintain a better management of the accesses to the global register file. All the techniques have been implemented and evaluated. The result shows that our techniques can significantly reduce the penalty of performance and energy consumption due to access port limitation of global register file.

  6. Instruction transfer and storage exploration for low energy embedded VLIWs

    NARCIS (Netherlands)

    Aa, van der T.

    2005-01-01

    Portable consumer electronics to play multimedia have to be high performant and flexible. Energy consumption has to be kept as low as possible to ensure a long battery lifetime. To be flexible, these systems often contain an instruction set processor. Very Long Instruction Word (VLIW) processors

  7. Run-time Adaptable VLIW Processors : Resources, Performance, Power Consumption, and Reliability Trade-offs

    NARCIS (Netherlands)

    Anjam, F.

    2013-01-01

    In this dissertation, we propose to combine programmability with reconfigurability by implementing an adaptable programmable VLIW processor in a reconfigurable hardware. The approach allows applications to be developed at high-level (C language level), while at the same time, the processor

  8. Unit-based functional IDDT testing for aging degradation monitoring in a VLIW processor

    NARCIS (Netherlands)

    Zhao, Yong; Kerkhoff, Hans G.

    2015-01-01

    In this paper, functional unit-based IDDT testing has been applied for a 90nm VLIW processor to monitor its aging degradation. This technique can provide health data for reliability evaluation as used in e.g. prognostic software for lifetime prediction. The test-program development based on the

  9. Application of functional IDDQ testing in a VLIW processor towards detection of aging degradation

    NARCIS (Netherlands)

    Kerkhoff, Hans G.; Zhao, Yong

    2015-01-01

    In this paper, functional IDDQ testing has been applied for a 90nm VLIW processor to effectively detect aging degradation. This technique can provide health data for reliability evaluation as used in e.g. prognostic software for lifetime prediction. The test environment for validation, implementing

  10. Code compression for VLIW embedded processors

    Science.gov (United States)

    Piccinelli, Emiliano; Sannino, Roberto

    2004-04-01

    The implementation of processors for embedded systems implies various issues: main constraints are cost, power dissipation and die area. On the other side, new terminals perform functions that require more computational flexibility and effort. Long code streams must be loaded into memories, which are expensive and power consuming, to run on DSPs or CPUs. To overcome this issue, the "SlimCode" proprietary algorithm presented in this paper (patent pending technology) can reduce the dimensions of the program memory. It can run offline and work directly on the binary code the compiler generates, by compressing it and creating a new binary file, about 40% smaller than the original one, to be loaded into the program memory of the processor. The decompression unit will be a small ASIC, placed between the Memory Controller and the System bus of the processor, keeping unchanged the internal CPU architecture: this implies that the methodology is completely transparent to the core. We present comparisons versus the state-of-the-art IBM Codepack algorithm, along with its architectural implementation into the ST200 VLIW family core.

  11. Microprocessors

    CERN Document Server

    Cornillie, O A R

    1985-01-01

    Microprocessors presents an overview of the state of the art in the field of microprocessors and illustrates, with the aid of patents, its utilization and application. Organized into six parts, the book begins with an introduction to the microprocessor, microcomputer, and software. Parts I-III focus on program control, digital control, and electrical motor control. Subsequent parts show the medical applications, measuring instruments, and treatment of data in microprocessors.

  12. A microarchitecture for resource-limited superscalar microprocessors

    Science.gov (United States)

    Basso, Todd David

    1999-11-01

    Microelectronic components in space and satellite systems must be resistant to total dose radiation, single-even upset, and latchup in order to accomplish their missions. The demand for inexpensive, high-volume, radiation hardened (rad-hard) integrated circuits (ICs) is expected to increase dramatically as the communication market continues to expand. Motorola's Complementary Gallium Arsenide (CGaAsTM) technology offers superior radiation tolerance compared to traditional CMOS processes, while being more economical than dedicated rad-hard CMOS processes. The goals of this dissertation are to optimize a superscalar microarchitecture suitable for CGaAsTM microprocessors, develop circuit techniques for such applications, and evaluate the potential of CGaAsTM for the development of digital VLSI circuits. Motorola's 0.5 mum CGaAsTM process is summarized and circuit techniques applicable to digital CGaAsTM are developed. Direct coupled FET, complementary, and domino logic circuits are compared based on speed, power, area, and noise margins. These circuit techniques are employed in the design of a 600 MHz PowerPCTM arithmetic logic unit. The dissertation emphasizes CGaASTM-specific design considerations, specifically, low integration level. A baseline superscalar microarchitecture is defined and SPEC95 integer benchmark simulations are used to evaluate the applicability of advanced architectural features to microprocessors having low integration levels. The performance simulations center around the optimization of a simple superscalar core, small-scale branch prediction, instruction prefetching, and an off-chip primary data cache. The simulation results are used to develop a superscalar microarchitecture capable of outperforming a comparable sequential pipeline, while using only 500,000 transistors. The architecture, running at 200 MHz, is capable of achieving an estimated 153 MIPS, translating to a 27% performance increase over a comparable traditional pipelined

  13. The bit slice micro-processor 'GESPRO' as a project in the UA2 experiment

    CERN Document Server

    Becam, C; Delanghe, J; Fest, H M; Lecoq, J; Martin, H; Mencik, M; MerkeI, B; Meyer, J M; Perrin, M; Plothow, H; Rampazzo, J P; Schittly, A

    1981-01-01

    The bit slice micro-processor GESPRO is a CAMAC module plugged into a standard Elliot system crate via which it communicates as a slave with its host computer. It has full control of CAMAC as a master unit. GESPRO is a 24 bit machine with multi-mode memory addressing capacity of 64K words. The micro-processor structure uses 5 buses including pipe-line registers to mask access time and 16 interrupt levels. The micro-program memory capacity is 2K (RAM) words of 48 bits each. A special hardwired module allows floating point, as well as integer, multiplication of 24*24 bits, result in 48 bits, in about 200 ns. This micro-processor could be used in the UA2 data acquisition chain and trigger system for the following tasks: (a) online data reduction, i.e. to read DURANDAL, process the information resulting in accepting or rejecting the event; (b) readout and analysis of the accepted data; (c) preprocess the data. The UA2 version of GESPRO is under construction, programs and micro-programs are under development. Hard...

  14. Microprocessor interfacing

    CERN Document Server

    Vears, R E

    2014-01-01

    Microprocessor Interfacing provides the coverage of the Business and Technician Education Council level NIII unit in Microprocessor Interfacing (syllabus U86/335). Composed of seven chapters, the book explains the foundation in microprocessor interfacing techniques in hardware and software that can be used for problem identification and solving. The book focuses on the 6502, Z80, and 6800/02 microprocessor families. The technique starts with signal conditioning, filtering, and cleaning before the signal can be processed. The signal conversion, from analog to digital or vice versa, is expl

  15. Microprocessorized message multiplexer

    International Nuclear Information System (INIS)

    Ejzman, S.; Guglielmi, L.; Jaeger, J.J.

    1980-07-01

    The 'Microprocessorized Message Multiplexer' is an elementary development tool used to create and debug the software of a target microprocessor (User Module: UM). It connects together four devices: a terminal, a cassette recorder, the target microprocessor and a host computer where macro and editor for the M 6800 microprocessor are resident [fr

  16. Microprocessor hardware reliability

    Energy Technology Data Exchange (ETDEWEB)

    Wright, R I

    1982-01-01

    Microprocessor-based technology has had an impact in nearly every area of industrial electronics and many applications have important safety implications. Microprocessors are being used for the monitoring and control of hazardous processes in the chemical, oil and power generation industries, for the control and instrumentation of aircraft and other transport systems and for the control of industrial machinery. Even in the field of nuclear reactor protection, where designers are particularly conservative, microprocessors are used to implement certain safety functions and may play increasingly important roles in protection systems in the future. Where microprocessors are simply replacing conventional hard-wired control and instrumentation systems no new hazards are created by their use. In the field of robotics, however, the microprocessor has opened up a totally new technology and with it has created possible new and as yet unknown hazards. The paper discusses some of the design and manufacturing techniques which may be used to enhance the reliability of microprocessor based systems and examines the available reliability data on lsi/vlsi microcircuits. 12 references.

  17. Microprocessor engineering

    CERN Document Server

    Holdsworth, B

    2013-01-01

    Microprocessor Engineering provides an insight in the structures and operating techniques of a small computer. The book is comprised of 10 chapters that deal with the various aspects of computing. The first two chapters tackle the basic arithmetic and logic processes. The third chapter covers the various memory devices, both ROM and RWM. Next, the book deals with the general architecture of microprocessor. The succeeding three chapters discuss the software aspects of machine operation, while the last remaining three chapters talk about the relationship of the microprocessor with the outside wo

  18. Microprocessors principles and applications

    CERN Document Server

    Debenham, Michael J

    1979-01-01

    Microprocessors: Principles and Applications deals with the principles and applications of microprocessors and covers topics ranging from computer architecture and programmed machines to microprocessor programming, support systems and software, and system design. A number of microprocessor applications are considered, including data processing, process control, and telephone switching. This book is comprised of 10 chapters and begins with a historical overview of computers and computing, followed by a discussion on computer architecture and programmed machines, paying particular attention to t

  19. Newnes microprocessor pocket book

    CERN Document Server

    Money, Steve

    2014-01-01

    Newnes Microprocessor Pocket Book explains the basic hardware operation of a microprocessor and describes the actions of the various types of instruction that can be executed. A summary of the characteristics of many of the popular microprocessors is presented. Apart from the popular 8- and 16-bit microprocessors, some details are also given of the popular single chip microcomputers and of the reduced instruction set computer (RISC) type processors such as the Transputer, Novix FORTH processor, and Acorn ARM processor.Comprised of 15 chapters, this book discusses the principles involved in bot

  20. Analysis of the Intel 386 and i486 microprocessors for the Space Station Freedom Data Management System

    Science.gov (United States)

    Liu, Yuan-Kwei

    1991-01-01

    The feasibility is analyzed of upgrading the Intel 386 microprocessor, which has been proposed as the baseline processor for the Space Station Freedom (SSF) Data Management System (DMS), to the more advanced i486 microprocessors. The items compared between the two processors include the instruction set architecture, power consumption, the MIL-STD-883C Class S (Space) qualification schedule, and performance. The advantages of the i486 over the 386 are (1) lower power consumption; and (2) higher floating point performance. The i486 on-chip cache does not have parity check or error detection and correction circuitry. The i486 with on-chip cache disabled, however, has lower integer performance than the 386 without cache, which is the current DMS design choice. Adding cache to the 386/386 DX memory hierachy appears to be the most beneficial change to the current DMS design at this time.

  1. Investigating Students’ Development of Learning Integer Concept and Integer Addition

    Directory of Open Access Journals (Sweden)

    Nenden Octavarulia Shanty

    2016-09-01

    Full Text Available This research aimed at investigating students’ development of learning integer concept and integer addition. The investigation was based on analyzing students’ works in solving the given mathematical problems in each instructional activity designed based on Realistic Mathematics Education (RME levels. Design research was chosen to achieve and to contribute in developing a local instruction theory for teaching and learning of integer concept and integer addition. In design research, the Hypothetical Learning Trajectory (HLT plays important role as a design and research instrument. It was designed in the phase of preliminary design and tested to three students of grade six OASIS International School, Ankara – Turkey. The result of the experiments showed that temperature in the thermometer context could stimulate students’ informal knowledge of integer concept. Furthermore, strategies and tools used by the students in comparing and relating two temperatures were gradually be developed into a more formal mathematics. The representation of line inside thermometer which then called the number line could bring the students to the last activity levels, namely rules for adding integer, and became the model for more formal reasoning. Based on these findings, it can be concluded that students’ learning integer concept and integer addition developed through RME levels.Keywords: integer concept, integer addition, Realistic Mathematics Education DOI: http://dx.doi.org/10.22342/jme.7.2.3538.57-72

  2. Quantum Integers

    International Nuclear Information System (INIS)

    Khrennikov, Andrei; Klein, Moshe; Mor, Tal

    2010-01-01

    In number theory, a partition of a positive integer n is a way of writing n as a sum of positive integers. The number of partitions of n is given by the partition function p(n). Inspired by quantum information processing, we extend the concept of partitions in number theory as follows: for an integer n, we treat each partition as a basis state of a quantum system representing that number n, so that the Hilbert-space that corresponds to that integer n is of dimension p(n); the 'classical integer' n can thus be generalized into a (pure) quantum state ||ψ(n) > which is a superposition of the partitions of n, in the same way that a quantum bit (qubit) is a generalization of a classical bit. More generally, ρ(n) is a density matrix in that same Hilbert-space (a probability distribution over pure states). Inspired by the notion of quantum numbers in quantum theory (such as in Bohr's model of the atom), we then try to go beyond the partitions, by defining (via recursion) the notion of 'sub-partitions' in number theory. Combining the two notions mentioned above, sub-partitions and quantum integers, we finally provide an alternative definition of the quantum integers [the pure-state |ψ'(n)> and the mixed-state ρ'(n),] this time using the sub-partitions as the basis states instead of the partitions, for describing the quantum number that corresponds to the integer n.

  3. The bit slice micro-processor 'GESPRO' as a project in the UA2 experiment

    International Nuclear Information System (INIS)

    Becam, C.; Bernaudin, P.; Delanghe, J.; Mencik, M.; Merkel, B.; Plothow, H.; Fest, H.M.; Lecoq, J.; Martin, H.; Meyer, J.M.

    1981-01-01

    The bit slice micro-processor GESPRO, as it is proposed for use in the UA 2 data acquisition chain and trigger system, is a CAMAC module plugged into a standard Elliott System crate via which it communicates as a slave with its host computer (ND, DEC). It has full control of CAMAC as a master unit. GESPRO is a 24 bit machine (150 ns effective cycle time) with multi-mode memory addressing capacity of 64 K words. The micro-processor structure uses 5 busses including pipe-line registers to mask access time and 16 interrupt levels. The micro-program memory capacity is 2 K (RAM) words of 48 bits each. A special hardwired module allows floating point (as well as integer) multiplication of 24 x 24 bits, result in 48 bits, in about 200 ns. This micro-processor could be used in the UA2 data acquisition chain and trigger system for the following tasks: a) online data reduction, i.e. to read DURANDAL (fast ADC's = the hardware trigger in the experiment), process the information (effective mass calculation, etc.) resulting in accepting or rejecting the event. b) read out and analysis of the accepted data (collect statistical information). c) preprocess the data (calculation of pointers, address decoding, etc.). The UA2 version of GESPRO is under construction, programs and micro-programs are under development. Hardware and software will be tested with simulated data. First results are expected in about one year from now. (orig.)

  4. Small Microprocessor for ASIC or FPGA Implementation

    Science.gov (United States)

    Kleyner, Igor; Katz, Richard; Blair-Smith, Hugh

    2011-01-01

    A small microprocessor, suitable for use in applications in which high reliability is required, was designed to be implemented in either an application-specific integrated circuit (ASIC) or a field-programmable gate array (FPGA). The design is based on commercial microprocessor architecture, making it possible to use available software development tools and thereby to implement the microprocessor at relatively low cost. The design features enhancements, including trapping during execution of illegal instructions. The internal structure of the design yields relatively high performance, with a significant decrease, relative to other microprocessors that perform the same functions, in the number of microcycles needed to execute macroinstructions. The problem meant to be solved in designing this microprocessor was to provide a modest level of computational capability in a general-purpose processor while adding as little as possible to the power demand, size, and weight of a system into which the microprocessor would be incorporated. As designed, this microprocessor consumes very little power and occupies only a small portion of a typical modern ASIC or FPGA. The microprocessor operates at a rate of about 4 million instructions per second with clock frequency of 20 MHz.

  5. Integer anatomy

    Energy Technology Data Exchange (ETDEWEB)

    Doolittle, R. [ONR, Arlington, VA (United States)

    1994-11-15

    The title integer anatomy is intended to convey the idea of a systematic method for displaying the prime decomposition of the integers. Just as the biological study of anatomy does not teach us all things about behavior of species neither would we expect to learn everything about the number theory from a study of its anatomy. But, some number-theoretic theorems are illustrated by inspection of integer anatomy, which tend to validate the underlying structure and the form as developed and displayed in this treatise. The first statement to be made in this development is: the way structure of the natural numbers is displayed depends upon the allowed operations.

  6. Memory, microprocessor, and ASIC

    CERN Document Server

    Chen, Wai-Kai

    2003-01-01

    System Timing. ROM/PROM/EPROM. SRAM. Embedded Memory. Flash Memories. Dynamic Random Access Memory. Low-Power Memory Circuits. Timing and Signal Integrity Analysis. Microprocessor Design Verification. Microprocessor Layout Method. Architecture. ASIC Design. Logic Synthesis for Field Programmable Gate Array (EPGA) Technology. Testability Concepts and DFT. ATPG and BIST. CAD Tools for BIST/DFT and Delay Faults.

  7. Microprocessors applications in the nuclear industry

    International Nuclear Information System (INIS)

    Ethridge, C.D.

    1980-01-01

    Microprocessors in the nuclear industry, particularly at the Los Alamos Scientific Laboratory, have been and are being utilized in a wide variety of applications ranging from data acquisition and control for basic physics research to monitoring special nuclear material in long-term storage. Microprocessor systems have been developed to support weapons diagnostics measurements during underground weapons testing at the Nevada Test Site. Multiple single-component microcomputers are now controlling the measurement and recording of nuclear reactor operating power levels. The CMOS microprocessor data-acquisition instrumentation has operated on balloon flights to monitor power plant emissions. Target chamber mirror-positioning equipment for laser fusion facilities employs microprocessors

  8. OS Friendly Microprocessor Architecture

    Science.gov (United States)

    2017-04-01

    NOTES Patrick La Fratta is now affiliated with Micron Technology, Inc., Boise, Idaho. 14. ABSTRACT We present an introduction to the patented ...Operating System Friendly Microprocessor Architecture (OSFA). The software framework to support the hardware-level security features is currently patent ...Army is assignee. OS Friendly Microprocessor Architecture. United States Patent 9122610. 2015 Sep. 2. Jungwirth P, inventor; US Army is assignee

  9. Multiparametric programming based algorithms for pure integer and mixed-integer bilevel programming problems

    KAUST Repository

    Domínguez, Luis F.

    2010-12-01

    This work introduces two algorithms for the solution of pure integer and mixed-integer bilevel programming problems by multiparametric programming techniques. The first algorithm addresses the integer case of the bilevel programming problem where integer variables of the outer optimization problem appear in linear or polynomial form in the inner problem. The algorithm employs global optimization techniques to convexify nonlinear terms generated by a reformulation linearization technique (RLT). A continuous multiparametric programming algorithm is then used to solve the reformulated convex inner problem. The second algorithm addresses the mixed-integer case of the bilevel programming problem where integer and continuous variables of the outer problem appear in linear or polynomial forms in the inner problem. The algorithm relies on the use of global multiparametric mixed-integer programming techniques at the inner optimization level. In both algorithms, the multiparametric solutions obtained are embedded in the outer problem to form a set of single-level (M)(I)(N)LP problems - which are then solved to global optimality using standard fixed-point (global) optimization methods. Numerical examples drawn from the open literature are presented to illustrate the proposed algorithms. © 2010 Elsevier Ltd.

  10. Integer programming

    CERN Document Server

    Conforti, Michele; Zambelli, Giacomo

    2014-01-01

    This book is an elegant and rigorous presentation of integer programming, exposing the subject’s mathematical depth and broad applicability. Special attention is given to the theory behind the algorithms used in state-of-the-art solvers. An abundance of concrete examples and exercises of both theoretical and real-world interest explore the wide range of applications and ramifications of the theory. Each chapter is accompanied by an expertly informed guide to the literature and special topics, rounding out the reader’s understanding and serving as a gateway to deeper study. Key topics include: formulations polyhedral theory cutting planes decomposition enumeration semidefinite relaxations Written by renowned experts in integer programming and combinatorial optimization, Integer Programming is destined to become an essential text in the field.

  11. Microprocessor-controlled CAMAC data link module

    International Nuclear Information System (INIS)

    Potter, J.M.

    1978-05-01

    Communication between the central control computer and remote, satellite data-acquisition/control stations at the Clinton P. Anderson Meson Physics Facility (LAMPF) is presently accomplished through the use of CAMAC-based Data Link modules. With the advent of the microprocessor, a new philosophy for digital data communications has evolved. Data Link modules containing microprocessor controllers provide link management and communication network protocol through algorithms executed in the Data Link microprocessor. 13 figures

  12. Mold heating and cooling microprocessor conversion

    Science.gov (United States)

    Hoffman, D. P.

    1995-07-01

    Conversion of the microprocessors and software for the Mold Heating and Cooling (MHAC) pump package control systems was initiated to allow required system enhancements and provide data communications capabilities with the Plastics Information and Control System (PICS). The existing microprocessor-based control systems for the pump packages use an Intel 8088-based microprocessor board with a maximum of 64 Kbytes of program memory. The requirements for the system conversion were developed, and hardware has been selected to allow maximum reuse of existing hardware and software while providing the required additional capabilities and capacity. The new hardware will incorporate an Intel 80286-based microprocessor board with an 80287 math coprocessor, the system includes additional memory, I/O, and RS232 communication ports.

  13. Microprocessor protection relays: new prospects or new problems?

    OpenAIRE

    Gurevich, Vladimir

    2006-01-01

    The internal architecture and principles of operation of microprocessor-based devices including so-called "microprocessor protective relays" have little in common with devices called "electric relays". But microprocessor-based relay protection devices are gradually driving out the traditional electromechanical and even electronic relay protection of virtually from all fields of power and electrical engineering. Advantages of microprocessor-based protection means over traditional ones are far ...

  14. An INTEL 8080 microprocessor development system

    International Nuclear Information System (INIS)

    Horne, P.J.

    1977-01-01

    The INTEL 8080 has become one of the two most widely used microprocessors at CERN, the other being the MOTOROLA 6800. Even thouth this is the case, there have been, to date, only rudimentary facilities available for aiding the development of application programs for this microprocessor. An ideal development system is one which has a sophisticated editing and filing system, an assembler/compiler, and access to the microprocessor application. In many instances access to a PROM programmer is also required, as the application may utilize only PROMs for program storage. With these thoughts in mind, an INTEL 8080 microprocessor development system was implemented in the Proton Synchrotron (PS) Division. This system utilizes a PDP 11/45 as the editing and file-handling machine, and an MSC 8/MOD 80 microcomputer for assembling, PROM programming and debugging user programs at run time. The two machines are linked by an existing CAMAC crate system which will also provide the means of access to microprocessor applications in CAMAC and the interface of the development system to any other application. (Auth.)

  15. Microprocessor based systems for the higher technician

    CERN Document Server

    Vears, RE

    2013-01-01

    Microprocessor Based Systems for the Higher Technician provides coverage of the BTEC level 4 unit in Microprocessor Based Systems (syllabus U80/674). This book is composed of 10 chapters and concentrates on the development of 8-bit microcontrollers specifically constructed around the Z80 microprocessor. The design cycle for the development of such a microprocessor based system and the use of a disk-based development system (MDS) as an aid to design are both described in detail. The book deals with the Control Program Monitor (CP/M) operating system and gives background information on file hand

  16. Microprocessor control of a wind turbine generator

    Science.gov (United States)

    Gnecco, A. J.; Whitehead, G. T.

    1978-01-01

    This paper describes a microprocessor based system used to control the unattended operation of a wind turbine generator. The turbine and its microcomputer system are fully described with special emphasis on the wide variety of tasks performed by the microprocessor for the safe and efficient operation of the turbine. The flexibility, cost and reliability of the microprocessor were major factors in its selection.

  17. Fermilab ACP multi-microprocessor project

    International Nuclear Information System (INIS)

    Gaines, I.; Areti, H.; Biel, J.; Bracker, S.; Case, G.; Fischler, M.; Husby, D.; Nash, T.

    1984-08-01

    We report on the status of the Fermilab Advanced Computer Program's project to provide more cost-effective computing engines for the high energy physics community. The project will exploit the cheap, but powerful, commercial microprocessors now available by constructing modular multi-microprocessor systems. A working test bed system as well as plans for the next stages of the project are described

  18. Energy conservation applications of microprocessors

    Energy Technology Data Exchange (ETDEWEB)

    Shih, James Y.

    1979-07-01

    A survey of the application of microprocessors for industrial and commercial energy conservation has been made. Microprocessor applications for HVAC, chiller control, and automotive equipment are discussed. A case study of successful replacement of a conventional cooling plant control is recounted. The rapid advancement of microelectronic technology will affect efficient energy control, more sophisticated control methodology, and more investment in controls.

  19. PageRank of integers

    International Nuclear Information System (INIS)

    Frahm, K M; Shepelyansky, D L; Chepelianskii, A D

    2012-01-01

    We up a directed network tracing links from a given integer to its divisors and analyze the properties of the Google matrix of this network. The PageRank vector of this matrix is computed numerically and it is shown that its probability is approximately inversely proportional to the PageRank index thus being similar to the Zipf law and the dependence established for the World Wide Web. The spectrum of the Google matrix of integers is characterized by a large gap and a relatively small number of nonzero eigenvalues. A simple semi-analytical expression for the PageRank of integers is derived that allows us to find this vector for matrices of billion size. This network provides a new PageRank order of integers. (paper)

  20. Integer programming theory, applications, and computations

    CERN Document Server

    Taha, Hamdy A

    1975-01-01

    Integer Programming: Theory, Applications, and Computations provides information pertinent to the theory, applications, and computations of integer programming. This book presents the computational advantages of the various techniques of integer programming.Organized into eight chapters, this book begins with an overview of the general categorization of integer applications and explains the three fundamental techniques of integer programming. This text then explores the concept of implicit enumeration, which is general in a sense that it is applicable to any well-defined binary program. Other

  1. A light-powered sub-threshold microprocessor

    Energy Technology Data Exchange (ETDEWEB)

    Liu Ming; Chen Hong; Zhang Chun; Li Changmeng; Wang Zhihua, E-mail: lium02@mails.tsinghua.edu.cn [Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2010-11-15

    This paper presents an 8-bit sub-threshold microprocessor which can be powered by an integrated photosensitive diode. With a custom designed sub-threshold standard cell library and 1 kbit sub-threshold SRAM design, the leakage power of 58 nW, dynamic power of 385 nW - 165 kHz, EDP 13 pJ/inst and the operating voltage of 350 mV are achieved. Under a light of about 150 kLux, the microprocessor can run at a rate of up to 500 kHz. The microprocessor can be used for wireless-sensor-network nodes.

  2. Design of microprocessor-based hardware for number theoretic transform implementation

    Energy Technology Data Exchange (ETDEWEB)

    Anwar Ahmed Shamim

    1985-01-01

    The Winograd (1976) Fourier Transform algorithm (WFTA) was implemented on a TMS9900 microprocessor to compute NTTs. Since multiplication conducted modulo m is very time consuming a special purpose external hardware modular multiplier was designed, constructed and interfaced with the TMS9900 microprocessor. This external hardware modular multiplier allowed an improvement in the transform execution time. Computation time may further be reduced by employing several microprocessors. Taking advantage of the inherent parallelism of the WFTA, a dedicated parallel microprocessor system was designed and constructed to implement a 15-point WFTA in parallel. Benchmark programs were written to choose a suitable microprocessor for the parallel microprocessor system. A master or a host microprocessor is used to control the parallel microprocessor system and provides an interface to the outside world. An analogue to digital (a/d) and a digital to analogue (d/a) converter allows real time digital signal processing.

  3. Hard equality constrained integer knapsacks

    NARCIS (Netherlands)

    Aardal, K.I.; Lenstra, A.K.; Cook, W.J.; Schulz, A.S.

    2002-01-01

    We consider the following integer feasibility problem: "Given positive integer numbers a 0, a 1,..., a n, with gcd(a 1,..., a n) = 1 and a = (a 1,..., a n), does there exist a nonnegative integer vector x satisfying ax = a 0?" Some instances of this type have been found to be extremely hard to solve

  4. Multiparametric programming based algorithms for pure integer and mixed-integer bilevel programming problems

    KAUST Repository

    Domí nguez, Luis F.; Pistikopoulos, Efstratios N.

    2010-01-01

    continuous multiparametric programming algorithm is then used to solve the reformulated convex inner problem. The second algorithm addresses the mixed-integer case of the bilevel programming problem where integer and continuous variables of the outer problem

  5. The microprocessor boom

    International Nuclear Information System (INIS)

    Anon.

    1979-01-01

    The applications of microprocessors in high energy physics experiments are discussed. Many benefits are predicted for data acquisition and handling systems and for control and monitoring functions. (W.D.L.).

  6. Microprocessor aided data acquisition at VEDAS

    International Nuclear Information System (INIS)

    Ziem, P.; Drescher, B.; Kapper, K.; Kowallik, R.

    1985-01-01

    Three microprocessor systems have been developed to support data acquisition in nuclear physics multiparameter experiments. A bit-slice processor accumulates up to 256 1-dim spectra and 16 2-dim spectra. A microprocessor, based on the AM 29116 ALU, performs a fast consistency check on the coincidence data. A VME-Bus double-processor displays a colored scatterplot

  7. Microprocessorized NMR measurement

    International Nuclear Information System (INIS)

    Rijllart, A.

    1984-01-01

    An MC68000 CAMAC microprocessor system for fast and accurate NMR signal measurement will be presented. A stand-alone CAMAC microprocessor system (MC68000 STAC) with a special purpose interface sweeps a digital frequency synthesizer and digitizes the NMR signal with a 16-bit ADC of 17 μs conversion time. It averages the NMR signal data over many sweeps and then transfers it through CAMAC to a computer for calculation of the signal parameters. The computer has full software control over the timing and sweep settings of this signal averager, and thus allows optimization of noise suppression. Several of these processor systems can be installed in the same crate for parallel processing, and the flexibility of the STAC also allows easy adaptation to other applications such as transient recording or phase-sensitive detection. (orig.)

  8. Multi-core Microprocessors

    Indian Academy of Sciences (India)

    Based on empirical data, Gordon Moore .... there are numerous models of the same Intel microprocessor such as Pentium. 3). ... returns. The limit on instruction and thread-level processing coupled with ..... This style of parallel programming is.

  9. Microprocessor multi-task monitor

    International Nuclear Information System (INIS)

    Ludemann, C.A.

    1983-01-01

    This paper describes a multi-task monitor program for microprocessors. Although written for the Intel 8085, it incorporates features that would be beneficial for implementation in other microprocessors used in controlling and monitoring experiments and accelerators. The monitor places permanent programs (tasks) arbitrarily located throughout ROM in a priority ordered queue. The programmer is provided with the flexibility to add new tasks or modified versions of existing tasks, without having to comply with previously defined task boundaries or having to reprogram all of ROM. Scheduling of tasks is triggered by timers, outside stimuli (interrupts), or inter-task communications. Context switching time is of the order of tenths of a milllisecond

  10. Applied Integer Programming Modeling and Solution

    CERN Document Server

    Chen, Der-San; Dang, Yu

    2011-01-01

    An accessible treatment of the modeling and solution of integer programming problems, featuring modern applications and software In order to fully comprehend the algorithms associated with integer programming, it is important to understand not only how algorithms work, but also why they work. Applied Integer Programming features a unique emphasis on this point, focusing on problem modeling and solution using commercial software. Taking an application-oriented approach, this book addresses the art and science of mathematical modeling related to the mixed integer programming (MIP) framework and

  11. Microprocessor Protection of Power Reducing Transformers

    OpenAIRE

    F. A. Romanuk; S. P. Korolev; M. S. Loman

    2011-01-01

    The paper contains analysis of advantages and disadvantages of existing differential protection terminals of power reducing transformers. The paper shows that there are good reasons to develop microprocessor protection of power reducing transformer which contains required functions and settings and which is based on Belarusian principles of relay protection system construction. The paper presents functional structure of microprocessor terminal of power reducing transformer which is developed. 

  12. Microprocessors in detectors and analysis

    International Nuclear Information System (INIS)

    Siskind, E.J.

    1982-01-01

    The increasing need in high energy physics experiments for computation power for both online and offline applications, coupled with the current microprocessor revolution, has led to the examination of the use of microprocessors in various aspects of HEP computing. A brief (and admittedly somewhat biased) review is given of current hardware products, the costs of developing and producing hardware systems, and the costs of providing appropriate software support tools which allow one to make effective use of physicists' time, and the applicability of certain systems to the various needs of HEP computing

  13. Microprocessors in detectors and analysis

    International Nuclear Information System (INIS)

    Siskind, E.J.

    1982-01-01

    The increasing need in high energy physics experiments for computation power for both online and offline applications, coupled with the current microprocessor revolution, has led us to examine the use of microprocessors in various aspects of HEP computing. The following article is a brief (and admittedly somewhat biased) review of current hardware products, the costs of developing and producing hardware systems, and the costs of providing appropriate software support tools which allow one to make effective use of physicists' time, and the applicability of certain systems to the various needs of HEP computing

  14. Microprocessor Protection of Power Reducing Transformers

    Directory of Open Access Journals (Sweden)

    F. A. Romanuk

    2011-01-01

    Full Text Available The paper contains analysis of advantages and disadvantages of existing differential protection terminals of power reducing transformers. The paper shows that there are good reasons to develop microprocessor protection of power reducing transformer which contains required functions and settings and which is based on Belarusian principles of relay protection system construction. The paper presents functional structure of microprocessor terminal of power reducing transformer which is developed. 

  15. Microprocessor controller for phasing the accelerator

    International Nuclear Information System (INIS)

    Howry, S.K.; Wilmunder, A.R.

    1977-03-01

    A microprocessor controller is being developed to perform automatic phasing of the SLAC accelerator. It will replace the existing relay/analog boxes which are ten years old. The new system is all solid state except for the stepping motors that drive the phase shifters. A description is given of the components of the system, the control algorithm, microprocessor hardware and software design and development, and interaction with SLAC's computer control system

  16. Introduction to 6800/6802 microprocessor systems hardware, software and experimentation

    CERN Document Server

    Simpson, Robert J

    1987-01-01

    Introduction to 6800/6802 Microprocessor Systems: Hardware, Software and Experimentation introduces the reader to the features, characteristics, operation, and applications of the 6800/6802 microprocessor and associated family of devices. Many worked examples are included to illustrate the theoretical and practical aspects of the 6800/6802 microprocessor.Comprised of six chapters, this book begins by presenting several aspects of digital systems before introducing the concepts of fetching and execution of a microprocessor instruction. Details and descriptions of hardware elements (MPU, RAM, RO

  17. Process control by microprocessors

    Energy Technology Data Exchange (ETDEWEB)

    Arndt, W [ed.

    1978-12-01

    Papers from the workshop Process Control by Microprocessors being organized by the Karlsruhe Nuclear Research Center, Project PDV, together with the VDI/VDE-Gesellschaft fuer Mess- und Regelungstechnik are presented. The workshop was held on December 13 and 14, 1978 at the facilities of the Nuclear Research Center. The papers are arranged according to the topics of the workshop; one chapter deals with today's state of the art of microprocessor hardware and software technology; 5 chapters are dedicated to applications. The report also contains papers which will not be presented at the workshop. Both the workshop and the report are expected to improve and distribute the know-how about this modern technology.

  18. Microprocessor Controlled Maximum Power Point Tracker for Photovoltaic Application

    International Nuclear Information System (INIS)

    Jiya, J. D.; Tahirou, G.

    2002-01-01

    This paper presents a microprocessor controlled maximum power point tracker for photovoltaic module. Input current and voltage are measured and multiplied within the microprocessor, which contains an algorithm to seek the maximum power point. The duly cycle of the DC-DC converter, at which the maximum power occurs is obtained, noted and adjusted. The microprocessor constantly seeks for improvement of obtained power by varying the duty cycle

  19. Slip and Slide Method of Factoring Trinomials with Integer Coefficients over the Integers

    Science.gov (United States)

    Donnell, William A.

    2012-01-01

    In intermediate and college algebra courses there are a number of methods for factoring quadratic trinomials with integer coefficients over the integers. Some of these methods have been given names, such as trial and error, reversing FOIL, AC method, middle term splitting method and slip and slide method. The purpose of this article is to discuss…

  20. CAMAC based computer--computer communications via microprocessor data links

    International Nuclear Information System (INIS)

    Potter, J.M.; Machen, D.R.; Naivar, F.J.; Elkins, E.P.; Simmonds, D.D.

    1976-01-01

    Communications between the central control computer and remote, satellite data acquisition/control stations at The Clinton P. Anderson Meson Physics Facility (LAMPF) is presently accomplished through the use of CAMAC based Data Link Modules. With the advent of the microprocessor, a new philosophy for digital data communications has evolved. Data Link modules containing microprocessor controllers provide link management and communication network protocol through algorithms executed in the Data Link microprocessor

  1. SNOOP module CAMAC interface to the 168/E microprocessor

    International Nuclear Information System (INIS)

    Bernstein, D.; Carroll, J.T.; Mitnick, V.H.; Paffrath, L.; Parker, D.B.

    1979-10-01

    A pair of 168/E microprocessors will be used to meet the realtime computing requirements of the SLAC Hybrid Facility. A SNOOP module and 168/E Interface provide the link between the host computer and the microprocessors. By eavesdropping on normal CAMAC read operations, the SNOOP provides a direct data transfer from CAMAC to microprocessor memory. The host computer controls the processors using standard CAMAC programmed I/O to the SNOOP

  2. Radiation hardened COTS-based 32-bit microprocessor

    International Nuclear Information System (INIS)

    Haddad, N.; Brown, R.; Cronauer, T.; Phan, H.

    1999-01-01

    A high performance radiation hardened 32-bit RISC microprocessor based upon a commercial single chip CPU has been developed. This paper presents the features of radiation hardened microprocessor, the methods used to radiation harden this device, the results of radiation testing, and shows that the RAD6000 is well-suited for the vast majority of space applications. (authors)

  3. Automated mixed traffic transit vehicle microprocessor controller

    Science.gov (United States)

    Marks, R. A.; Cassell, P.; Johnston, A. R.

    1981-01-01

    An improved Automated Mixed Traffic Vehicle (AMTV) speed control system employing a microprocessor and transistor chopper motor current controller is described and its performance is presented in terms of velocity versus time curves. The on board computer hardware and software systems are described as is the software development system. All of the programming used in this controller was implemented using FORTRAN. This microprocessor controller made possible a number of safety features and improved the comfort associated with starting and shopping. In addition, most of the vehicle's performance characteristics can be altered by simple program parameter changes. A failure analysis of the microprocessor controller was generated and the results are included. Flow diagrams for the speed control algorithms and complete FORTRAN code listings are also included.

  4. Microprocessor system design a practical introduction

    CERN Document Server

    Spinks, Michael J

    2013-01-01

    Microprocessor System Design: A Practical Introduction describes the concepts and techniques incorporated into the design of electronic circuits, particularly microprocessor boards and their peripherals. The book reviews the basic building blocks of the electronic systems composed of digital (logic levels, gate output circuitry) and analog components (resistors, capacitors, diodes, transistors). The text also describes operational amplifiers (op-amp) that use a negative feedback technique to improve the parameters of the op-amp. The design engineer can use programmable array logic (PAL) to rep

  5. A statistical mechanical approach to restricted integer partition functions

    Science.gov (United States)

    Zhou, Chi-Chun; Dai, Wu-Sheng

    2018-05-01

    The main aim of this paper is twofold: (1) suggesting a statistical mechanical approach to the calculation of the generating function of restricted integer partition functions which count the number of partitions—a way of writing an integer as a sum of other integers under certain restrictions. In this approach, the generating function of restricted integer partition functions is constructed from the canonical partition functions of various quantum gases. (2) Introducing a new type of restricted integer partition functions corresponding to general statistics which is a generalization of Gentile statistics in statistical mechanics; many kinds of restricted integer partition functions are special cases of this restricted integer partition function. Moreover, with statistical mechanics as a bridge, we reveal a mathematical fact: the generating function of restricted integer partition function is just the symmetric function which is a class of functions being invariant under the action of permutation groups. Using this approach, we provide some expressions of restricted integer partition functions as examples.

  6. Microprocessor-controlled scanning densitometer system

    International Nuclear Information System (INIS)

    Shurtliff, R.W.

    1980-04-01

    An Automated Scanning Densitometer System has been developed by uniting a microprocessor with a low energy x-ray densitometer system. The microprocessor controls the detector movement, provides self-calibration, compensates raw readings to provide time-linear output, controls both data storage and the host computer interface, and provides measurement output in engineering units for immediate reading. The densitometer, when used in a scanning mode, is a precision reference instrument that provides chordal average density measurements over the cross section of a pipe under steady-state flow conditions. Results have shown an improvement over the original densitometer in reliability and repeatability of the system, an a factor-of-five improvement in accuracy

  7. The engineering of microprocessor systems guidelines on system development

    CERN Document Server

    1979-01-01

    The Engineering of Microprocessor Systems: Guidelines on System Development provides economical and technical guidance for use when incorporating microprocessors in products or production processes and assesses the alternatives that are available. This volume is part of Project 0251 undertaken by The Electrical Research Association, which aims to give managers and development engineers advice and comment on the development process and the hardware and software needed to support the engineering of microprocessor systems. The results of Phase 1 of the five-phase project are contained in this fir

  8. Integer-valued trawl processes

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole E.; Lunde, Asger; Shephard, Neil

    2014-01-01

    the probabilistic properties of such processes in detail and, in addition, study volatility modulation and multivariate extensions within the new modelling framework. Moreover, we describe how the parameters of a trawl process can be estimated and obtain promising estimation results in our simulation study. Finally......This paper introduces a new continuous-time framework for modelling serially correlated count and integer-valued data. The key component in our new model is the class of integer-valued trawl processes, which are serially correlated, stationary, infinitely divisible processes. We analyse...

  9. Integer and combinatorial optimization

    CERN Document Server

    Nemhauser, George L

    1999-01-01

    Rave reviews for INTEGER AND COMBINATORIAL OPTIMIZATION ""This book provides an excellent introduction and survey of traditional fields of combinatorial optimization . . . It is indeed one of the best and most complete texts on combinatorial optimization . . . available. [And] with more than 700 entries, [it] has quite an exhaustive reference list.""-Optima ""A unifying approach to optimization problems is to formulate them like linear programming problems, while restricting some or all of the variables to the integers. This book is an encyclopedic resource for such f

  10. Microprocessor monitored Auger spectrometer

    International Nuclear Information System (INIS)

    Sapin, Michel; Ghaleb, Dominique; Pernot, Bernard.

    1982-05-01

    The operation of an Auger spectrometer, used for studying surface impurity diffusion, has been fully automatized with the help of a microprocessor. The characteristics, performance and practical use of the system are described together with the main advantage for the experimentator [fr

  11. Analysis misconception of integers in microteaching activities

    Science.gov (United States)

    Setyawati, R. D.; Indiati, I.

    2018-05-01

    This study view to analyse student misconceptions on integers in microteaching activities. This research used qualitative research design. An integers test contained questions from eight main areas of integers. The Integers material test includes (a) converting the image into fractions, (b) examples of positive numbers including rational numbers, (c) operations in fractions, (d) sorting fractions from the largest to the smallest, and vice versa; e) equate denominator, (f) concept of ratio mark, (g) definition of fraction, and (h) difference between fractions and parts. The results indicated an integers concepts: (1) the students have not been able to define concepts well based on the classification of facts in organized part; (2) The correlational concept: students have not been able to combine interrelated events in the form of general principles; and (3) theoretical concepts: students have not been able to use concepts that facilitate in learning the facts or events in an organized system.

  12. Harmonic oscillator states with integer and non-integer orbital angular momentum

    International Nuclear Information System (INIS)

    Land, Martin

    2011-01-01

    We study the quantum mechanical harmonic oscillator in two and three dimensions, with particular attention to the solutions as basis states for representing their respective symmetry groups — O(2), O(1,1), O(3), and O(2,1). The goal of this study is to establish a correspondence between Hilbert space descriptions found by solving the Schrodinger equation in polar coordinates, and Fock space descriptions constructed by expressing the symmetry operators in terms of creation/annihilation operators. We obtain wavefunctions characterized by a principal quantum number, the group Casimir eigenvalue, and one group generator whose eigenvalue is m + s, for integer m and real constant parameter s. For the three groups that contain O(2), the solutions split into two inequivalent representations, one associated with s = 0, from which we recover the familiar description of the oscillator as a product of one-dimensional solutions, and the other with s > 0 (in three dimensions, solutions are found for s = 0 and s = 1/2) whose solutions are non-separable in Cartesian coordinates, and are hence overlooked by the standard Fock space approach. The O(1,1) solutions are singlet states, restricted to zero eigenvalue of the symmetry operator, which represents the boost, not angular momentum. For O(2), a single set of creation and annihilation operators forms a ladder representation for the allowed oscillator states for any s, and the degeneracy of energy states is always finite. However, in three dimensions, the integer and half-integer eigenstates are qualitatively different: the former can be expressed as finite dimensional irreducible tensors under O(3) or O(2,1) while the latter exhibit infinite degeneracy. Creation operators that produce the allowed integer states by acting on the non-degenerate ground state are constructed as irreducible tensor products of the fundamental vector representation. However, the half-integer eigenstates are infinite-dimensional, as expected for the non

  13. On the Delone property of (−β-integers

    Directory of Open Access Journals (Sweden)

    Wolfgang Steiner

    2011-08-01

    Full Text Available The (−β-integers are natural generalisations of the β-integers, and thus of the integers, for negative real bases. They can be described by infinite words which are fixed points of anti-morphisms. We show that they are not necessarily uniformly discrete and relatively dense in the real numbers.

  14. Quasi-greedy systems of integer translates

    DEFF Research Database (Denmark)

    Nielsen, Morten; Sikic, Hrvoje

    We consider quasi-greedy systems of integer translates in a finitely generated shift invariant subspace of L2(Rd), that is systems for which the thresholding approximation procedure is well behaved. We prove that every quasi-greedy system of integer translates is also a Riesz basis for its closed...

  15. Quasi-greedy systems of integer translates

    DEFF Research Database (Denmark)

    Nielsen, Morten; Sikic, Hrvoje

    2008-01-01

    We consider quasi-greedy systems of integer translates in a finitely generated shift-invariant subspace of L2(Rd), that is systems for which the thresholding approximation procedure is well behaved. We prove that every quasi-greedy system of integer translates is also a Riesz basis for its closed...

  16. Regulation of Plant Microprocessor Function in Shaping microRNA Landscape

    Directory of Open Access Journals (Sweden)

    Jakub Dolata

    2018-06-01

    Full Text Available MicroRNAs are small molecules (∼21 nucleotides long that are key regulators of gene expression. They originate from long stem–loop RNAs as a product of cleavage by a protein complex called Microprocessor. The core components of the plant Microprocessor are the RNase type III enzyme Dicer-Like 1 (DCL1, the zinc finger protein Serrate (SE, and the double-stranded RNA binding protein Hyponastic Leaves 1 (HYL1. Microprocessor assembly and its processing of microRNA precursors have been reported to occur in discrete nuclear bodies called Dicing bodies. The accessibility of and modifications to Microprocessor components affect microRNA levels and may have dramatic consequences in plant development. Currently, numerous lines of evidence indicate that plant Microprocessor activity is tightly regulated. The cellular localization of HYL1 is dependent on a specific KETCH1 importin, and the E3 ubiquitin ligase COP1 indirectly protects HYL1 from degradation in a light-dependent manner. Furthermore, proper localization of HYL1 in Dicing bodies is regulated by MOS2. On the other hand, the Dicing body localization of DCL1 is regulated by NOT2b, which also interacts with SE in the nucleus. Post-translational modifications are substantial factors that contribute to protein functional diversity and provide a fine-tuning system for the regulation of protein activity. The phosphorylation status of HYL1 is crucial for its activity/stability and is a result of the interplay between kinases (MPK3 and SnRK2 and phosphatases (CPL1 and PP4. Additionally, MPK3 and SnRK2 are known to phosphorylate SE. Several other proteins (e.g., TGH, CDF2, SIC, and RCF3 that interact with Microprocessor have been found to influence its RNA-binding and processing activities. In this minireview, recent findings on the various modes of Microprocessor activity regulation are discussed.

  17. Application of microprocessors to radiation protection measurements

    International Nuclear Information System (INIS)

    Zappe, D.; Meldes, C.

    1982-01-01

    In radiation protection measurements signals from radiation detectors or dosemeters have to be transformed into quantities relevant to radiation protection. In most cases this can only be done by taking into account various parameters (e.g. the quality factor). Moreover, the characteristics of the statistical laws of nuclear radiation emission have to be considered. These problems can properly be solved by microprocessors. After reviewing the main properties of microprocessors, some typical examples of applying them to problems of radiation protection measurement are given. (author)

  18. CFD-simulation of radiator for air cooling of microprocessors in a limitided space

    OpenAIRE

    Trofimov V. E.; Pavlov A. L.; Mokrousova E. A.

    2016-01-01

    One of the final stages of microprocessors development is heat test. This procedure is performed on a special stand, the main element of which is the switching PCB with one or more mounted microprocessor sockets, chipsets, interfaces, jumpers and other components which provide various modes of microprocessor operation. The temperature of microprocessor housing is typically changed using thermoelectric module. The cold surface of the module with controlled temperature is in direct thermal c...

  19. Microprocessors in automatic chemical analysis

    International Nuclear Information System (INIS)

    Goujon de Beauvivier, M.; Perez, J.-J.

    1979-01-01

    Application of microprocessors to programming and computing of solutions chemical analysis by a sequential technique is examined. Safety, performances reliability are compared to other methods. An example is given on uranium titration by spectrophotometry [fr

  20. Evaluation of the performance of microprocessor-based colorimeter

    OpenAIRE

    Randhawa, S. S.; Gupta, R. C.; Bhandari, A. K.; Malhotra, P. S.

    1992-01-01

    Colorimetric estimations have an important role in quantitative studies. An inexpensive and portable microprocessor-based colorimeter developed by the authors is described in this paper. The colorimeter uses a light emitting diode as the light source; a pinphotodiode as the detector and an 8085A microprocessor. Blood urea, glucose, total protein, albumin and bilirubin from patient blood samples were analysed with the instrument and results obtained were compared with assays of the same blood ...

  1. A microprocessor based picture analysis system for automatic track measurements

    International Nuclear Information System (INIS)

    Heinrich, W.; Trakowski, W.; Beer, J.; Schucht, R.

    1982-01-01

    In the last few years picture analysis became a powerful technique for measurements of nuclear tracks in plastic detectors. For this purpose rather expensive commercial systems are available. Two inexpensive microprocessor based systems with different resolution were developed. The video pictures of particles seen through a microscope are digitized in real time and the picture analysis is done by software. The microscopes are equipped with stages driven by stepping motors, which are controlled by separate microprocessors. A PDP 11/03 supervises the operation of all microprocessors and stores the measured data on its mass storage devices. (author)

  2. Microprocessors in physics experiments at SLAC

    International Nuclear Information System (INIS)

    Rochester, L.S.

    1981-01-01

    The increasing size and complexity of high energy physics experiments is changing the way data are collected. To implement a trigger or event filter requires complex logic which may have to be modified as the experiment proceeds. Simply to monitor a detector, large amounts of data must be processed online. The use of microprocessors or other programmable devices can help to achieve these ends flexibly and economically. At SLAC, a number of microprocessor-based systems have been built and are in use in experimental setups, and others are now being developed. This talk is a review of existing systems and their use in experiments, and of developments in progress and future plans. (orig.)

  3. Microprocessors in physics experiments at SLAC

    International Nuclear Information System (INIS)

    Rochester, L.S.

    1981-04-01

    The increasing size and complexity of high energy physics experiments is changing the way data are collected. To implement a trigger or event filter requires complex logic which may have to be modified as the experiment proceeds. Simply to monitor a detector, large amounts of data must be processed on line. The use of microprocessors or other programmable devices can help to achieve these ends flexibly and economically. At SLAC, a number of microprocessor-based systems have been built and are in use in experimental setups, and others are now being developed. This talk is a review of existing systems and their use in experiments, and of developments in progress and future plans

  4. General-purpose microprocessor-based control chassis

    International Nuclear Information System (INIS)

    Halbig, J.K.; Klosterbuer, S.F.; Swenson, D.A.

    1979-12-01

    The objective of the Pion Generation for Medical Irradiations (PIGMI) program at the Los Alamos Scientific Laboratory is to develop the technology to build smaller, less expensive, and more reliable proton linear accelerators for medical applications. For this program, a powerful, simple, inexpensive, and reliable control and data acquisition system was developed. The system has a NOVA 3D computer with a real time disk-operating system (RDOS) that communicates with distributed microprocessor-based controllers which directly control data input/output chassis. At the heart of the controller is a microprocessor crate which was conceived at the Fermi National Accelerator Laboratory. This idea was applied to the design of the hardware and software of the controller

  5. Dynamic instruction set extension of microprocessors with embedded FPGAs

    OpenAIRE

    Bauer, Heiner

    2017-01-01

    Increasingly complex applications and recent shifts in technology scaling have created a large demand for microprocessors which can perform tasks more quickly and more energy efficient. Conventional microarchitectures exploit multiple levels of parallelism to increase instruction throughput and use application specific instruction sets or hardware accelerators to increase energy efficiency. Reconfigurable microprocessors adopt the same principle of providing application specific hardware, how...

  6. Ramsey theory on the integers

    CERN Document Server

    Landman, Bruce M

    2003-01-01

    Ramsey theory is the study of the structure of mathematical objects that is preserved under partitions. In its full generality, Ramsey theory is quite powerful, but can quickly become complicated. By limiting the focus of this book to Ramsey theory applied to the set of integers, the authors have produced a gentle, but meaningful, introduction to an important and enticing branch of modern mathematics. Ramsey Theory on the Integers offers students something quite rare for a book at this level: a glimpse into the world of mathematical research and the opportunity to begin pondering unsolved problems themselves. In addition to being the first truly accessible book on Ramsey theory, this innovative book also provides the first cohesive study of Ramsey theory on the integers. It contains perhaps the most substantial account of solved and unsolved problems in this blossoming subarea of Ramsey theory. The result is a breakthrough book that will engage students, teachers, and researchers alike.

  7. Microprocessor based techniques at CESR

    International Nuclear Information System (INIS)

    Giannini, G.; Cornell Univ., Ithaca, NY

    1981-01-01

    Microprocessor based systems succesfully used in connection with the High Energy Physics experimental program at the Cornell Electron Storage Ring are described. The multiprocessor calibration system for the CUSB calorimeter is analyzed in view of present and future applications. (orig.)

  8. Fractal electrodynamics via non-integer dimensional space approach

    Science.gov (United States)

    Tarasov, Vasily E.

    2015-09-01

    Using the recently suggested vector calculus for non-integer dimensional space, we consider electrodynamics problems in isotropic case. This calculus allows us to describe fractal media in the framework of continuum models with non-integer dimensional space. We consider electric and magnetic fields of fractal media with charges and currents in the framework of continuum models with non-integer dimensional spaces. An application of the fractal Gauss's law, the fractal Ampere's circuital law, the fractal Poisson equation for electric potential, and equation for fractal stream of charges are suggested. Lorentz invariance and speed of light in fractal electrodynamics are discussed. An expression for effective refractive index of non-integer dimensional space is suggested.

  9. Small private key MQPKS on an embedded microprocessor.

    Science.gov (United States)

    Seo, Hwajeong; Kim, Jihyun; Choi, Jongseok; Park, Taehwan; Liu, Zhe; Kim, Howon

    2014-03-19

    Multivariate quadratic (MQ) cryptography requires the use of long public and private keys to ensure a sufficient security level, but this is not favorable to embedded systems, which have limited system resources. Recently, various approaches to MQ cryptography using reduced public keys have been studied. As a result of this, at CHES2011 (Cryptographic Hardware and Embedded Systems, 2011), a small public key MQ scheme, was proposed, and its feasible implementation on an embedded microprocessor was reported at CHES2012. However, the implementation of a small private key MQ scheme was not reported. For efficient implementation, random number generators can contribute to reduce the key size, but the cost of using a random number generator is much more complex than computing MQ on modern microprocessors. Therefore, no feasible results have been reported on embedded microprocessors. In this paper, we propose a feasible implementation on embedded microprocessors for a small private key MQ scheme using a pseudo-random number generator and hash function based on a block-cipher exploiting a hardware Advanced Encryption Standard (AES) accelerator. To speed up the performance, we apply various implementation methods, including parallel computation, on-the-fly computation, optimized logarithm representation, vinegar monomials and assembly programming. The proposed method reduces the private key size by about 99.9% and boosts signature generation and verification by 5.78% and 12.19% than previous results in CHES2012.

  10. Small Private Key PKS on an Embedded Microprocessor

    Science.gov (United States)

    Seo, Hwajeong; Kim, Jihyun; Choi, Jongseok; Park, Taehwan; Liu, Zhe; Kim, Howon

    2014-01-01

    Multivariate quadratic ( ) cryptography requires the use of long public and private keys to ensure a sufficient security level, but this is not favorable to embedded systems, which have limited system resources. Recently, various approaches to cryptography using reduced public keys have been studied. As a result of this, at CHES2011 (Cryptographic Hardware and Embedded Systems, 2011), a small public key scheme, was proposed, and its feasible implementation on an embedded microprocessor was reported at CHES2012. However, the implementation of a small private key scheme was not reported. For efficient implementation, random number generators can contribute to reduce the key size, but the cost of using a random number generator is much more complex than computing on modern microprocessors. Therefore, no feasible results have been reported on embedded microprocessors. In this paper, we propose a feasible implementation on embedded microprocessors for a small private key scheme using a pseudo-random number generator and hash function based on a block-cipher exploiting a hardware Advanced Encryption Standard (AES) accelerator. To speed up the performance, we apply various implementation methods, including parallel computation, on-the-fly computation, optimized logarithm representation, vinegar monomials and assembly programming. The proposed method reduces the private key size by about 99.9% and boosts signature generation and verification by 5.78% and 12.19% than previous results in CHES2012. PMID:24651722

  11. Linear and integer programming made easy

    CERN Document Server

    Hu, T C

    2016-01-01

    Linear and integer programming are fundamental toolkits for data and information science and technology, particularly in the context of today’s megatrends toward statistical optimization, machine learning, and big data analytics. Drawn from over 30 years of classroom teaching and applied research experience, this textbook provides a crisp and practical introduction to the basics of linear and integer programming. The authors’ approach is accessible to students from all fields of engineering, including operations research, statistics, machine learning, control system design, scheduling, formal verification, and computer vision. Readers will learn to cast hard combinatorial problems as mathematical programming optimizations, understand how to achieve formulations where the objective and constraints are linear, choose appropriate solution methods, and interpret results appropriately. •Provides a concise introduction to linear and integer programming, appropriate for undergraduates, graduates, a short cours...

  12. Positive integer solutions of certain diophantine equations

    Indian Academy of Sciences (India)

    BIJAN KUMAR PATEL

    2018-03-19

    Mar 19, 2018 ... integer solutions. They also found all the positive integer solutions of the given equations in terms of Fibonacci and Lucas numbers. Another interesting number sequence which is closely related to the sequence of. Fibonacci numbers is the sequence of balancing numbers. In 1999, Behera et al. [1] intro-.

  13. Small Private Key MQPKS on an Embedded Microprocessor

    Directory of Open Access Journals (Sweden)

    Hwajeong Seo

    2014-03-01

    Full Text Available Multivariate quadratic (MQ cryptography requires the use of long public and private keys to ensure a sufficient security level, but this is not favorable to embedded systems, which have limited system resources. Recently, various approaches to MQ cryptography using reduced public keys have been studied. As a result of this, at CHES2011 (Cryptographic Hardware and Embedded Systems, 2011, a small public key MQ scheme, was proposed, and its feasible implementation on an embedded microprocessor was reported at CHES2012. However, the implementation of a small private key MQ scheme was not reported. For efficient implementation, random number generators can contribute to reduce the key size, but the cost of using a random number generator is much more complex than computing MQ on modern microprocessors. Therefore, no feasible results have been reported on embedded microprocessors. In this paper, we propose a feasible implementation on embedded microprocessors for a small private key MQ scheme using a pseudo-random number generator and hash function based on a block-cipher exploiting a hardware Advanced Encryption Standard (AES accelerator. To speed up the performance, we apply various implementation methods, including parallel computation, on-the-fly computation, optimized logarithm representation, vinegar monomials and assembly programming. The proposed method reduces the private key size by about 99.9% and boosts signature generation and verification by 5.78% and 12.19% than previous results in CHES2012.

  14. Diversity and non-integer differentiation for system dynamics

    CERN Document Server

    Oustaloup, Alain

    2014-01-01

    Based on a structured approach to diversity, notably inspired by various forms of diversity of natural origins, Diversity and Non-integer Derivation Applied to System Dynamics provides a study framework to the introduction of the non-integer derivative as a modeling tool. Modeling tools that highlight unsuspected dynamical performances (notably damping performances) in an ""integer"" approach of mechanics and automation are also included. Written to enable a two-tier reading, this is an essential resource for scientists, researchers, and industrial engineers interested in this subject area. Ta

  15. Microprocessor-based data acquisition systems for Hera experiments

    International Nuclear Information System (INIS)

    Haynes, W.J.

    1989-09-01

    Sophisticated multi-microprocessor configurations are envisaged to cope with the technical challenges of the HERA electron-proton collider and the high data rates from the two large experiments H1 and ZEUS. These lecture notes concentrate on many of the techniques employed, with much emphasis being placed on the use of the IEEE standard VMEbus as a unifying element. The role of modern 32-bit CISC and RISC microprocessors, in the handling of data and the filtering of physics information, is highlighted together with the integration of personal computer stations for monitoring and control. (author)

  16. Evaluation of the performance of microprocessor-based colorimeter.

    Science.gov (United States)

    Randhawa, S S; Gupta, R C; Bhandari, A K; Malhotra, P S

    1992-01-01

    Colorimetric estimations have an important role in quantitative studies. An inexpensive and portable microprocessor-based colorimeter developed by the authors is described in this paper. The colorimeter uses a light emitting diode as the light source; a pinphotodiode as the detector and an 8085A microprocessor. Blood urea, glucose, total protein, albumin and bilirubin from patient blood samples were analysed with the instrument and results obtained were compared with assays of the same blood using a Spectronic 21. A good correlation was found between the results from the two instruments.

  17. Superposition of two optical vortices with opposite integer or non-integer orbital angular momentum

    Directory of Open Access Journals (Sweden)

    Carlos Fernando Díaz Meza

    2016-01-01

    Full Text Available This work develops a brief proposal to achieve the superposition of two opposite vortex beams, both with integer or non-integer mean value of the orbital angular momentum. The first part is about the generation of this kind of spatial light distributions through a modified Brown and Lohmann’s hologram. The inclusion of a simple mathematical expression into the pixelated grid’s transmittance function, based in Fourier domain properties, shifts the diffraction orders counterclockwise and clockwise to the same point and allows the addition of different modes. The strategy is theoretically and experimentally validated for the case of two opposite rotation helical wavefronts.

  18. S-parts of terms of integer linear recurrence sequences

    NARCIS (Netherlands)

    Bugeaud, Y.; Evertse, J.H.

    2017-01-01

    Let S = {q1 , . . . , qs } be a finite, non-empty set of distinct prime numbers. For a non-zero integer m, write m = q1^ r1 . . . qs^rs M, where r1 , . . . , rs  are non-negative integers and M is an integer relatively prime to q1 . . . qs. We define the S-part [m]_S of m by [m]_S := q1^r1 . . .

  19. CFD-simulation of radiator for air cooling of microprocessors in a limitided space

    Directory of Open Access Journals (Sweden)

    Trofimov V. E.

    2016-12-01

    Full Text Available One of the final stages of microprocessors development is heat test. This procedure is performed on a special stand, the main element of which is the switching PCB with one or more mounted microprocessor sockets, chipsets, interfaces, jumpers and other components which provide various modes of microprocessor operation. The temperature of microprocessor housing is typically changed using thermoelectric module. The cold surface of the module with controlled temperature is in direct thermal contact with the microprocessor housing designed for cooler installation. On the hot surface of the module a radiator is mounted. The radiator dissipates the cumulative heat flow from both the microprocessor and the module. High density PCB layout, the requirement of free access to the jumpers and interfaces, and the presence of numerous sensors limit the space for radiator mounting and require the use of an extremely compact radiator, especially in air cooling conditions. One of the possible solutions for this problem may reduce the area of the radiator heat-transfer surfaces due to a sharp growth of the heat transfer coefficient without increasing the air flow rate. To ensure a sharp growth of heat transfer coefficient on the heat-transfer surface one should make in the surface one or more dead-end cavities into which the impact air jets would flow. CFD simulation of this type of radiator has been conducted. The heat-aerodynamic characteristics and design recommendations for removing heat from microprocessors in a limited space have been determined.

  20. Microprocessor-controlled surface testing

    Energy Technology Data Exchange (ETDEWEB)

    Droscha, H

    1982-09-01

    For the quality inspection on continuous flow material webs with transverse scanning laser beam, the microprocessor control, realized now for the first time in combination with appropriate units, shows a considerable progress. Thanks to the here used electronics, surface errors can be localized within the web according to their x-y-position, quantitative analysis can be carried out and automatic sorting and registration functions can be used.

  1. Neomysis integer: a review

    OpenAIRE

    Fockedey, N.

    2005-01-01

    The present chapter aims to be a literature review on the brackish water mysid Neomysis integer, with focus on its feeding ecology, life history aspects, behaviour, physiology, biochemical composition, bioenergetics and ecotoxico10gy. All records on the species, available from literature, are listed as an appendix. The review aims to identify the state-of-the-art and the gaps in our knowledge on the species. Abundant information is available on the distribution patterns of Neomysis integer in...

  2. G-cueing microcontroller (a microprocessor application in simulators)

    Science.gov (United States)

    Horattas, C. G.

    1980-01-01

    A g cueing microcontroller is described which consists of a tandem pair of microprocessors, dedicated to the task of simulating pilot sensed cues caused by gravity effects. This task includes execution of a g cueing model which drives actuators that alter the configuration of the pilot's seat. The g cueing microcontroller receives acceleration commands from the aerodynamics model in the main computer and creates the stimuli that produce physical acceleration effects of the aircraft seat on the pilots anatomy. One of the two microprocessors is a fixed instruction processor that performs all control and interface functions. The other, a specially designed bipolar bit slice microprocessor, is a microprogrammable processor dedicated to all arithmetic operations. The two processors communicate with each other by a shared memory. The g cueing microcontroller contains its own dedicated I/O conversion modules for interface with the seat actuators and controls, and a DMA controller for interfacing with the simulation computer. Any application which can be microcoded within the available memory, the available real time and the available I/O channels, could be implemented in the same controller.

  3. Fermilab advanced computer program multi-microprocessor project

    International Nuclear Information System (INIS)

    Nash, T.; Areti, H.; Biel, J.

    1985-06-01

    Fermilab's Advanced Computer Program is constructing a powerful 128 node multi-microprocessor system for data analysis in high-energy physics. The system will use commercial 32-bit microprocessors programmed in Fortran-77. Extensive software supports easy migration of user applications from a uniprocessor environment to the multiprocessor and provides sophisticated program development, debugging, and error handling and recovery tools. This system is designed to be readily copied, providing computing cost effectiveness of below $2200 per VAX 11/780 equivalent. The low cost, commercial availability, compatibility with off-line analysis programs, and high data bandwidths (up to 160 MByte/sec) make the system an ideal choice for applications to on-line triggers as well as an offline data processor

  4. Cross software for microprocessor program development at CERN

    International Nuclear Information System (INIS)

    Eicken, H. von; Montuelle, J.; Willers, I.; Blake, J.

    1981-01-01

    Programs for a variety of microprocessors (including Intel 8080; Motorola 6800 and 6809 and 68000; and Texas Instruments 9900) can be prepared on different host computers (such as IBM 370, CDC 6000, and Nord 10) using portable programs developed at CERN. The range of cross software consists of: an assembler for each target microprocessor, a single linkage editor, a single object module librarian, and a variety of pre-loaders which convert object modules from CERN's format (CUFOM) into manufacturers' formats. The programs are written in BCPL and PASCAL, programming languages which are available on a wide range of computers. (orig.)

  5. Binary Positive Semidefinite Matrices and Associated Integer Polytopes

    DEFF Research Database (Denmark)

    Letchford, Adam N.; Sørensen, Michael Malmros

    2012-01-01

    We consider the positive semidefinite (psd) matrices with binary entries, along with the corresponding integer polytopes. We begin by establishing some basic properties of these matrices and polytopes. Then, we show that several families of integer polytopes in the literature-the cut, boolean qua...

  6. Neutrosophic Integer Programming Problem

    Directory of Open Access Journals (Sweden)

    Mai Mohamed

    2017-02-01

    Full Text Available In this paper, we introduce the integer programming in neutrosophic environment, by considering coffecients of problem as a triangulare neutrosophic numbers. The degrees of acceptance, indeterminacy and rejection of objectives are simultaneously considered.

  7. Microprocessor system to recover data from a self-scanning photodiode array

    International Nuclear Information System (INIS)

    Koppel, L.N.; Gadd, T.J.

    1975-01-01

    A microprocessor system developed at Lawrence Livermore Laboratory has expedited the recovery of data describing the low energy x-ray spectra radiated by laser-fusion targets. An Intel microprocessor controls the digitization and scanning of the data stream of an x-ray-sensitive self-scanning photodiode array incorporated in a crystal diffraction spectrometer

  8. Garbage-free reversible constant multipliers for arbitrary integers

    DEFF Research Database (Denmark)

    Mogensen, Torben Ægidius

    2013-01-01

    We present a method for constructing reversible circuitry for multiplying integers by arbitrary integer constants. The method is based on Mealy machines and gives circuits whose size are (in the worst case) linear in the size of the constant. This makes the method unsuitable for large constants...

  9. A fixed recourse integer programming approach towards a ...

    African Journals Online (AJOL)

    Regardless of the success that linear programming and integer linear programming has had in applications in engineering, business and economics, one has to challenge the assumed reality that these optimization models represent. In this paper the certainty assumptions of an integer linear program application is ...

  10. Microprocessor controller for stepping motors

    International Nuclear Information System (INIS)

    Strait, B.G.; Thuot, M.E.

    1977-01-01

    A new concept for digital computer control of multiple stepping motors which operate in a severe electromagnetic pulse environment is presented. The motors position mirrors in the beam-alignment system of a 100-kJ CO 2 laser. An asynchronous communications channel of a computer is used to send coded messages, containing the motor address and stepping-command information, to the stepping-motor controller in a bit serial format over a fiber-optics communications link. The addressed controller responds by transmitting to the computer its address and other motor information, thus confirming the received message. Each controller is capable of controlling three stepping motors. The controller contains the fiber-optics interface, a microprocessor, and the stepping-motor driven circuits. The microprocessor program, which resides in an EPROM, decodes the received messages, transmits responses, performs the stepping-motor sequence logic, maintains motor-position information, and monitors the motor's reference switch. For multiple stepping-motor application, the controllers are connected in a daisy chain providing control of many motors from one asynchronous communications channel of the computer

  11. Integers annual volume 2013

    CERN Document Server

    Landman, Bruce

    2014-01-01

    ""Integers"" is a refereed online journal devoted to research in the area of combinatorial number theory. It publishes original research articles in combinatorics and number theory. This work presents all papers of the 2013 volume in book form.

  12. A General Approach for Orthogonal 4-Tap Integer Multiwavelet Transforms

    Directory of Open Access Journals (Sweden)

    Mingli Jing

    2010-01-01

    Full Text Available An algorithm for orthogonal 4-tap integer multiwavelet transforms is proposed. We compute the singular value decomposition (SVD of block recursive matrices of transform matrix, and then transform matrix can be rewritten in a product of two block diagonal matrices and a permutation matrix. Furthermore, we factorize the block matrix of block diagonal matrices into triangular elementary reversible matrices (TERMs, which map integers to integers by rounding arithmetic. The cost of factorizing block matrix into TERMs does not increase with the increase of the dimension of transform matrix, and the proposed algorithm is in-place calculation and without allocating auxiliary memory. Examples of integer multiwavelet transform using DGHM and CL are given, which verify that the proposed algorithm is an executable algorithm and outperforms the existing algorithm for orthogonal 4-tap integer multiwavelet transform.

  13. Single event effect testing of the Intel 80386 family and the 80486 microprocessor

    International Nuclear Information System (INIS)

    Moran, A.; LaBel, K.; Gates, M.; Seidleck, C.; McGraw, R.; Broida, M.; Firer, J.; Sprehn, S.

    1996-01-01

    The authors present single event effect test results for the Intel 80386 microprocessor, the 80387 coprocessor, the 82380 peripheral device, and on the 80486 microprocessor. Both single event upset and latchup conditions were monitored

  14. The European Logarithmic Microprocessor

    Czech Academy of Sciences Publication Activity Database

    Coleman, J. N.; Softley, C. I.; Kadlec, Jiří; Matoušek, R.; Tichý, Milan; Pohl, Zdeněk; Heřmánek, Antonín; Benschop, N. F.

    2008-01-01

    Roč. 57, č. 4 (2008), s. 532-546 ISSN 0018-9340 Grant - others:Evropská komise(BE) ESPRIT 33544 Institutional research plan: CEZ:AV0Z10750506 Source of funding: R - rámcový projekt EK Keywords : Processor architecture * arithmetic unit * logarithmic arithmetic Subject RIV: JC - Computer Hardware ; Software Impact factor: 2.611, year: 2008 http://library.utia.cas.cz/separaty/2008/ZS/kadlec-the%20european%20logarithmic%20microprocessor.pdf

  15. Spreading Sequences Generated Using Asymmetrical Integer-Number Maps

    Directory of Open Access Journals (Sweden)

    V. Sebesta

    2007-09-01

    Full Text Available Chaotic sequences produced by piecewise linear maps can be transformed to binary sequences. The binary sequences are optimal for the asynchronous DS/CDMA systems in case of certain shapes of the maps. This paper is devoted to the one-to-one integer-number maps derived from the suitable asymmetrical piecewise linear maps. Such maps give periodic integer-number sequences, which can be transformed to the binary sequences. The binary sequences produced via proposed modified integer-number maps are perfectly balanced and embody good autocorrelation and crosscorrelation properties. The number of different binary sequences is sizable. The sequences are suitable as spreading sequences in DS/CDMA systems.

  16. Integer-valued time series

    NARCIS (Netherlands)

    van den Akker, R.

    2007-01-01

    This thesis adresses statistical problems in econometrics. The first part contributes statistical methodology for nonnegative integer-valued time series. The second part of this thesis discusses semiparametric estimation in copula models and develops semiparametric lower bounds for a large class of

  17. A microprocessor based area monitor system for neutron and gamma radiation

    International Nuclear Information System (INIS)

    Wilhelm, R.; Heusser, G.

    1980-01-01

    The conventional electronics of the area monitors at the MPI-Heidelberg accelerators have been replaced by a microprocessor system consisting of individual detector-microprocessors and a central microcomputer. The detector microprocessors convert the count rates of BF3 and GM counter tubes into dose rates and control three different radiation thresholds (failure, low and high level). Different warning signals are operated directly by the detector processors, whereas the dose rates are transferred to the central microcomputer. Here the data are processed for recording on tape and displaying on TV monitors. The detector as well as the central processors have been developed on the basis of a 16-bit microprocessor. In the control rooms the dose rates of the individual monitors are displayed and on an indicator board showing the different locations, the high radiation level and the state of the doors (open, locked, and closed, locked but open) are sianaled by different LED. If a high radiation threshold is surpassed, the doors adjacent to that area can be locked either by switches on the indicator board or automatically. Within the experimental area, the low and high radiation level is indicated by acoustic and light signals. The whole concept permits keeping the absorbed doses of the personnel as low as possible without affecting the flexibility of the experimental operations. The independence of the microprocessor driven area monitors guarantees a high reliability. Compared to conventional electronics the advantages of the system are its reliability and cost. (Author)

  18. Integer programming techniques for educational timetabling

    DEFF Research Database (Denmark)

    Fonseca, George H.G.; Santos, Haroldo G.; Carrano, Eduardo G.

    2017-01-01

    in recent studies in the field. This work presents new cuts and reformulations for the existing integer programming model for XHSTT. The proposed cuts improved hugely the linear relaxation of the formulation, leading to an average gap reduction of 32%. Applied to XHSTT-2014 instance set, the alternative...... formulation provided four new best known lower bounds and, used in a matheuristic framework, improved eleven best known solutions. The computational experiments also show that the resulting integer programming models from the proposed formulation are more effectively solved for most of the instances....

  19. Integration in a nuclear physics experiment of a visualization unit managed by a microprocessor

    International Nuclear Information System (INIS)

    Lefebvre, M.

    1976-01-01

    A microprocessor (Intel 8080) is introduced in the equipment controlling the (e,e'p) experiment that will take place at the linear accelerator operating in the premises of CEA (Orme des Merisiers, Gif-sur-Yvette, France). The purpose of the microprocessor is to handle the visualization tasks that are necessary to have a continuous control of the experiment. By doing so more time and more memory will be left for data processing by the calculator unit. In a forward version of the system, the controlling of the level of helium in the target might also be in charge of the microprocessor. This work is divided into 7 main parts: 1) a presentation of the linear accelerator and its experimental facilities, 2) the Intel 8080 micro-processor and its programming, 3) the implementation of the micro-processor in the electronic system, 4) the management of the memory, 5) data acquisition, 6) the keyboard, and 7) the visualization unit [fr

  20. Concept report: Microprocessor control of electrical power system

    Science.gov (United States)

    Perry, E.

    1977-01-01

    An electrical power system which uses a microprocessor for systems control and monitoring is described. The microprocessor controlled system permits real time modification of system parameters for optimizing a system configuration, especially in the event of an anomaly. By reducing the components count, the assembling and testing of the unit is simplified, and reliability is increased. A resuable modular power conversion system capable of satisfying a large percentage of space applications requirements is examined along with the programmable power processor. The PC global controller which handles systems control and external communication is analyzed, and a software description is given. A systems application summary is also included.

  1. Anisotropic fractal media by vector calculus in non-integer dimensional space

    Energy Technology Data Exchange (ETDEWEB)

    Tarasov, Vasily E., E-mail: tarasov@theory.sinp.msu.ru [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow 119991 (Russian Federation)

    2014-08-15

    A review of different approaches to describe anisotropic fractal media is proposed. In this paper, differentiation and integration non-integer dimensional and multi-fractional spaces are considered as tools to describe anisotropic fractal materials and media. We suggest a generalization of vector calculus for non-integer dimensional space by using a product measure method. The product of fractional and non-integer dimensional spaces allows us to take into account the anisotropy of the fractal media in the framework of continuum models. The integration over non-integer-dimensional spaces is considered. In this paper differential operators of first and second orders for fractional space and non-integer dimensional space are suggested. The differential operators are defined as inverse operations to integration in spaces with non-integer dimensions. Non-integer dimensional space that is product of spaces with different dimensions allows us to give continuum models for anisotropic type of the media. The Poisson's equation for fractal medium, the Euler-Bernoulli fractal beam, and the Timoshenko beam equations for fractal material are considered as examples of application of suggested generalization of vector calculus for anisotropic fractal materials and media.

  2. Anisotropic fractal media by vector calculus in non-integer dimensional space

    Science.gov (United States)

    Tarasov, Vasily E.

    2014-08-01

    A review of different approaches to describe anisotropic fractal media is proposed. In this paper, differentiation and integration non-integer dimensional and multi-fractional spaces are considered as tools to describe anisotropic fractal materials and media. We suggest a generalization of vector calculus for non-integer dimensional space by using a product measure method. The product of fractional and non-integer dimensional spaces allows us to take into account the anisotropy of the fractal media in the framework of continuum models. The integration over non-integer-dimensional spaces is considered. In this paper differential operators of first and second orders for fractional space and non-integer dimensional space are suggested. The differential operators are defined as inverse operations to integration in spaces with non-integer dimensions. Non-integer dimensional space that is product of spaces with different dimensions allows us to give continuum models for anisotropic type of the media. The Poisson's equation for fractal medium, the Euler-Bernoulli fractal beam, and the Timoshenko beam equations for fractal material are considered as examples of application of suggested generalization of vector calculus for anisotropic fractal materials and media.

  3. Anisotropic fractal media by vector calculus in non-integer dimensional space

    International Nuclear Information System (INIS)

    Tarasov, Vasily E.

    2014-01-01

    A review of different approaches to describe anisotropic fractal media is proposed. In this paper, differentiation and integration non-integer dimensional and multi-fractional spaces are considered as tools to describe anisotropic fractal materials and media. We suggest a generalization of vector calculus for non-integer dimensional space by using a product measure method. The product of fractional and non-integer dimensional spaces allows us to take into account the anisotropy of the fractal media in the framework of continuum models. The integration over non-integer-dimensional spaces is considered. In this paper differential operators of first and second orders for fractional space and non-integer dimensional space are suggested. The differential operators are defined as inverse operations to integration in spaces with non-integer dimensions. Non-integer dimensional space that is product of spaces with different dimensions allows us to give continuum models for anisotropic type of the media. The Poisson's equation for fractal medium, the Euler-Bernoulli fractal beam, and the Timoshenko beam equations for fractal material are considered as examples of application of suggested generalization of vector calculus for anisotropic fractal materials and media

  4. Auxiliary/Master microprocessor CAMAC Crate Controller applications

    International Nuclear Information System (INIS)

    Barsotti, E.

    1975-01-01

    The need for further sophistication of an already complex serial CAMAC control system at Fermilab led to the development of an Auxilary/Master CAMAC Crate Controller. The controller contains a Motorola 6800 microprocessor, 2K bytes of RAM, and 8K bytes of PROM memory. Bussed dataway lines are time shared with CAMAC signals to provide memory expansion and direct addressing of peripheral devices without the need of external cabling. The Auxiliary/Master Crate Controller (A/MCC) can function as either a Master, i.e., stand alone, crate controller or as an Auxiliary controller to Fermilab's Serial Crate Controller (SCC). Two modules, one single- and one double-width, make up an A/MCC. The microprocessor has one nonmaskable and one maskable vectored interrupt. Time sharing the dataway between SCC programmed and block transfer generated dataway cycles and A/MCC operations still allows a 99 percent microprocessor CPU busy time. Since the conception of the A/MCC, there has been an increasing number of control system-related projects proposed which would not have been possible or would have been very difficult to implement without such a device. The first such application now in use at Fermilab is a stand-alone control system for a mass spectrometer experiment in the Main Ring Internal Target Area. This application in addition to other proposed A/MCC applications, both stand-alone and auxiliary, is discussed

  5. Mixed integer evolution strategies for parameter optimization.

    Science.gov (United States)

    Li, Rui; Emmerich, Michael T M; Eggermont, Jeroen; Bäck, Thomas; Schütz, M; Dijkstra, J; Reiber, J H C

    2013-01-01

    Evolution strategies (ESs) are powerful probabilistic search and optimization algorithms gleaned from biological evolution theory. They have been successfully applied to a wide range of real world applications. The modern ESs are mainly designed for solving continuous parameter optimization problems. Their ability to adapt the parameters of the multivariate normal distribution used for mutation during the optimization run makes them well suited for this domain. In this article we describe and study mixed integer evolution strategies (MIES), which are natural extensions of ES for mixed integer optimization problems. MIES can deal with parameter vectors consisting not only of continuous variables but also with nominal discrete and integer variables. Following the design principles of the canonical evolution strategies, they use specialized mutation operators tailored for the aforementioned mixed parameter classes. For each type of variable, the choice of mutation operators is governed by a natural metric for this variable type, maximal entropy, and symmetry considerations. All distributions used for mutation can be controlled in their shape by means of scaling parameters, allowing self-adaptation to be implemented. After introducing and motivating the conceptual design of the MIES, we study the optimality of the self-adaptation of step sizes and mutation rates on a generalized (weighted) sphere model. Moreover, we prove global convergence of the MIES on a very general class of problems. The remainder of the article is devoted to performance studies on artificial landscapes (barrier functions and mixed integer NK landscapes), and a case study in the optimization of medical image analysis systems. In addition, we show that with proper constraint handling techniques, MIES can also be applied to classical mixed integer nonlinear programming problems.

  6. A realtime feedback microprocessor for the TEVATRON

    International Nuclear Information System (INIS)

    Herrup, D.A.; Chapman, L.; Franck, A.; Groves, T.; Lublinsky, B.

    1993-01-01

    A feedback microprocessor has been built for the TEVATRON. Its inputs are realtime accelerator measurements, data describing the state of the TEVATRON, and ramp tables. The microprocessor includes a finite state machine. Each state corresponds to a specific TEVATRON operation. Transitions between states are initiated by the global TEVATRON clock. Each state includes a cyclic routine which is called periodically and where all calculations are performed. The output corrections are inserted onto a fast TEVATRON-wide link from which the power supplies will read the realtime correction. The authors also store all of the input data and output corrections in a set of buffers which can easily be retrieved for diagnostic analysis. This talk will describe use of this device to control the TEVATRON tunes and discuss other uses

  7. Multiple microprocessor based nuclear reactor power monitor

    International Nuclear Information System (INIS)

    Lewis, P.S.; Ethridge, C.D.

    1979-01-01

    The reactor power monitor is a portable multiple-microprocessor controlled data acquisition device being built for the International Atomic Energy Association. Its function is to measure and record the hourly integrated operating thermal power level of a nuclear reactor for the purpose of detecting unannounced plutonium production. The monitor consists of a 3 He proportional neutron detector, a write-only cassette tape drive and control electronics based on two INTEL 8748 microprocessors. The reactor power monitor operates from house power supplied by the plant operator, but has eight hours of battery backup to cover power interruptions. Both the hourly power levels and any line power interruptions are recorded on tape and in memory. Intermediate dumps from the memory to a data terminal or strip chart recorder can be performed without interrupting data collection

  8. Efficient Algorithms for gcd and Cubic Residuosity in the Ring of Eisenstein Integers

    DEFF Research Database (Denmark)

    Damgård, Ivan Bjerre; Frandsen, Gudmund Skovbjerg

    2003-01-01

    We present simple and efficient algorithms for computing gcd and cubic residuosity in the ring of Eisenstein integers, bf Z[ ]i.e. the integers extended with , a complex primitive third root of unity. The algorithms are similar and may be seen as generalisations of the binary integer gcd and deri......We present simple and efficient algorithms for computing gcd and cubic residuosity in the ring of Eisenstein integers, bf Z[ ]i.e. the integers extended with , a complex primitive third root of unity. The algorithms are similar and may be seen as generalisations of the binary integer gcd...

  9. Software tools for microprocessor based systems

    International Nuclear Information System (INIS)

    Halatsis, C.

    1981-01-01

    After a short review of the hardware and/or software tools for the development of single-chip, fixed instruction set microprocessor-based sytems we focus on the software tools for designing systems based on microprogrammed bit-sliced microprocessors. Emphasis is placed on meta-microassemblers and simulation facilties at the register-transfer-level and architecture level. We review available meta-microassemblers giving their most important features, advantages and disadvantages. We also make extentions to higher-level microprogramming languages and associated systems specifically developed for bit-slices. In the area of simulation facilities we first discuss the simulation objectives and the criteria for chosing the right simulation language. We consertrate to simulation facilities already used in bit-slices projects and discuss the gained experience. We conclude by describing the way the Signetics meta-microassembler and the ISPS simulation tool have been employed in the design of a fast microprogrammed machine, called MICE, made out of ECL bit-slices. (orig.)

  10. A feedback microprocessor for hadron colliders

    International Nuclear Information System (INIS)

    Herrup, D.A.; Chapman, L.; Franck, A.; Groves, T.; Lublinsky, B.

    1992-12-01

    A feedback microprocessor has been built for the TEVATRON. It has been constructed to be applicable to hadron colliders in general. Its inputs are realtime accelerator measurements, data describing the state of the TEVATRON, and ramp tables. The microprocessor software includes a finite state machine. Each state corresponds to a specific TEVATRON operation and has a state-specific TEVATRON model. Transitions between states are initiated by the global TEVATRON clock. Each state includes a cyclic routine which is called periodically and where all calculations are performed. The output corrections are inserted onto a fast TEVATRON-wide link from which the power supplies will read the realtime corrections. We also store all of the input data and output corrections in a set of buffers which can easily be retrieved for diagnostic analysis. In this paper we will describe this device and its use to control the TEVATRON tunes as well as other possible applications

  11. An SEU rate prediction method for microprocessors of space applications

    International Nuclear Information System (INIS)

    Gao Jie; Li Qiang

    2012-01-01

    In this article,the relationship between static SEU (Single Event Upset) rate and dynamic SEU rate in microprocessors for satellites is studied by using process duty cycle concept and fault injection technique. The results are compared to in-orbit flight monitoring data. The results show that dynamic SEU rate by using process duty cycle can estimate in-orbit SEU rate of microprocessor reasonable; and the fault injection technique is a workable method to estimate SEU rate. (authors)

  12. Spinor Field Realizations of the half-integer $W_{2,s}$ Strings

    OpenAIRE

    Wei, Shao-Wen; Liu, Yu-Xiao; Zhang, Li-Jie; Ren, Ji-Rong

    2008-01-01

    The grading Becchi-Rouet-Stora-Tyutin (BRST) method gives a way to construct the integer $W_{2,s}$ strings, where the BRST charge is written as $Q_B=Q_0+Q_1$. Using this method, we reconstruct the nilpotent BRST charges $Q_{0}$ for the integer $W_{2,s}$ strings and the half-integer $W_{2,s}$ strings. Then we construct the exact grading BRST charge with spinor fields and give the new realizations of the half-integer $W_{2,s}$ strings for the cases of $s=3/2$, 5/2, and 7/2.

  13. Radiation-hardened bulk Si-gate CMOS microprocessor family

    International Nuclear Information System (INIS)

    Stricker, R.E.; Dingwall, A.G.F.; Cohen, S.; Adams, J.R.; Slemmer, W.C.

    1979-01-01

    RCA and Sandia Laboratories jointly developed a radiation-hardened bulk Si-gate CMOS technology which is used to fabricate the CDP-1800 series microprocessor family. Total dose hardness of 1 x 10 6 rads (Si) and transient upset hardness of 5 x 10 8 rads (Si)/sec with no latch up at any transient level was achieved. Radiation-hardened parts manufactured to date include the CDP-1802 microprocessor, the CDP-1834 ROM, the CDP-1852 8-bit I/O port, the CDP-1856 N-bit 1 of 8 decoder, and the TCC-244 256 x 4 Static RAM. The paper is divided into three parts. In the first section, the basic fundamentals of the non-hardened C 2 L technology used for the CDP-1800 series microprocessor parts is discussed along with the primary reasons for hardening this technology. The second section discusses the major changes in the fabrication sequence that are required to produce radiation-hardened devices. The final section details the electrical performance characteristics of the hardened devices as well as the effects of radiation on device performance. Also included in this section is a discussion of the TCC-244 256 x 4 Static RAM designed jointly by RCA and Sandia Laboratories for this application

  14. Application of multiwall carbon nanotubes for thermal dissipation in a micro-processor

    Energy Technology Data Exchange (ETDEWEB)

    Bui Hung Thang; Phan Ngoc Hong; Phan Hong Khoi; Phan Ngoc Minh [Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay District, Hanoi (Viet Nam)], E-mail: minhpn@ims.vast.ac.vn

    2009-09-01

    One of the most valuable properties of the carbon nanotubes materials is its high thermal conductivity with 2000 W/m.K (compared to thermal conductivity of Ag 419 W/m.K). It suggested an approach in applying the CNTs in thermal dissipation media to improve the performance of computer processors and other high power electronic devices. In this research, the multiwall carbon nanotubes (MWCNTs) made by thermal chemical vapour deposition (CVD) at our laboratory was employed as the heat dissipation media in a microprocessor a Personal Computer with configuration: Intel Pentium IV 3.066 GHz, 512Mb of RAM and Windows XP Service Pack 2 Operating System. We directly measured the temperature of the microprocessor during the operation of the computer in two modes: 100% usage CPU mode and over-clocking mode. The measured results showed that when using our thermal dissipation media (a mixture of the mentioned commercial thermal compound and 2 wt.%. MWCNTs), the temperature of the microprocessor decreased 5 deg. C, and the time for increasing the temperature of the microprocessor was three times longer than that when using commercial thermal compound. In over-clocking mode, the processor speed reached 3.8 GHz with 165 MHz of system bus clock speed; it was 1.24 times higher than that in non over-clocking mode. The results confirmed a promising way of using MWCNTs as the thermal dissipation media for microprocessor and high power electronic devices.

  15. Application of multiwall carbon nanotubes for thermal dissipation in a micro-processor

    Science.gov (United States)

    Thang, Bui Hung; Hong, Phan Ngoc; Khoi, Phan Hong; Minh, Phan Ngoc

    2009-09-01

    One of the most valuable properties of the carbon nanotubes materials is its high thermal conductivity with 2000 W/m.K (compared to thermal conductivity of Ag 419 W/m.K). It suggested an approach in applying the CNTs in thermal dissipation media to improve the performance of computer processors and other high power electronic devices. In this research, the multiwall carbon nanotubes (MWCNTs) made by thermal chemical vapour deposition (CVD) at our laboratory was employed as the heat dissipation media in a microprocessor a Personal Computer with configuration: Intel Pentium IV 3.066 GHz, 512Mb of RAM and Windows XP Service Pack 2 Operating System. We directly measured the temperature of the microprocessor during the operation of the computer in two modes: 100% usage CPU mode and over-clocking mode. The measured results showed that when using our thermal dissipation media (a mixture of the mentioned commercial thermal compound and 2 wt.%. MWCNTs), the temperature of the microprocessor decreased 5°C, and the time for increasing the temperature of the microprocessor was three times longer than that when using commercial thermal compound. In over-clocking mode, the processor speed reached 3.8 GHz with 165 MHz of system bus clock speed; it was 1.24 times higher than that in non over-clocking mode. The results confirmed a promising way of using MWCNTs as the thermal dissipation media for microprocessor and high power electronic devices.

  16. Application of multiwall carbon nanotubes for thermal dissipation in a micro-processor

    International Nuclear Information System (INIS)

    Bui Hung Thang; Phan Ngoc Hong; Phan Hong Khoi; Phan Ngoc Minh

    2009-01-01

    One of the most valuable properties of the carbon nanotubes materials is its high thermal conductivity with 2000 W/m.K (compared to thermal conductivity of Ag 419 W/m.K). It suggested an approach in applying the CNTs in thermal dissipation media to improve the performance of computer processors and other high power electronic devices. In this research, the multiwall carbon nanotubes (MWCNTs) made by thermal chemical vapour deposition (CVD) at our laboratory was employed as the heat dissipation media in a microprocessor a Personal Computer with configuration: Intel Pentium IV 3.066 GHz, 512Mb of RAM and Windows XP Service Pack 2 Operating System. We directly measured the temperature of the microprocessor during the operation of the computer in two modes: 100% usage CPU mode and over-clocking mode. The measured results showed that when using our thermal dissipation media (a mixture of the mentioned commercial thermal compound and 2 wt.%. MWCNTs), the temperature of the microprocessor decreased 5 deg. C, and the time for increasing the temperature of the microprocessor was three times longer than that when using commercial thermal compound. In over-clocking mode, the processor speed reached 3.8 GHz with 165 MHz of system bus clock speed; it was 1.24 times higher than that in non over-clocking mode. The results confirmed a promising way of using MWCNTs as the thermal dissipation media for microprocessor and high power electronic devices.

  17. A Fault-tolerant RISC Microprocessor for Spacecraft Applications

    Science.gov (United States)

    Timoc, Constantin; Benz, Harry

    1990-01-01

    Viewgraphs on a fault-tolerant RISC microprocessor for spacecraft applications are presented. Topics covered include: reduced instruction set computer; fault tolerant registers; fault tolerant ALU; and double rail CMOS logic.

  18. Presolving and regularization in mixed-integer second-order cone optimization

    DEFF Research Database (Denmark)

    Friberg, Henrik Alsing

    Mixed-integer second-order cone optimization is a powerful mathematical framework capable of representing both logical conditions and nonlinear relationships in mathematical models of industrial optimization problems. What is more, solution methods are already part of many major commercial solvers...... both continuous and mixed-integer conic optimization in general, is discovered and treated. This part of the thesis continues the studies of facial reduction preceding the work of Borwein and Wolkowicz [17] in 1981, when the first algorithmic cure for these kinds of reliability issues were formulated....... An important distinction to make between continuous and mixed-integer optimization, however, is that the reliability issues occurring in mixed-integer optimization cannot be blamed on the practitioner’s formulation of the problem. Specifically, as shown, the causes for these issues may well lie within...

  19. FPGAs Emulate Microprocessors-A Successful Case for HFC NPP Digital I and C Upgrade

    International Nuclear Information System (INIS)

    Hsu, Allen; Crow, Ivan; Reese, Carl; Kim, Jong; Yang, Steve

    2014-01-01

    Field Programmable Gate Arrays (FPGAs), as programmable logic devices (PLDs) have gained a great deal of interests for implementing safety I and C applications in nuclear power plants (NPPs) largely owing to the FPGAs'potential advantage over the currently more common microprocessor-based digital I and C applications. First of all, FPGAs have adequate capabilities for most digital I and C applications in NPPs. Secondly, FPGAs provide products with longer lifetime, improve testability, and reduce the drift which occurs in analog-based systems, from hardware perspective. Thirdly, FPGAs, from software perspective, can be made simpler, less reliant on complex software such as operating systems, which should make FPGAs easier to qualify for nuclear safety applications. Fourthly, FPGAs are less vulnerable to cyber attacks when FPGAs implement the I and C systems that do not contain high-level, general purpose software that may be easily subjected to malicious modifications. Finally, FPGAs can bring cost reduction in an I and C digital upgrade because FPGAs can provide simpler licensing process than microprocessor-based digital I and C, and FPGAs can be implemented more efficiently. This paper will present one successful case for YGN Unit I and C upgrade using FPGA-based components to replace the obsolete Intel 8085 Microprocessor-based controllers. In this case, FPGAs emulated the process of the existing microprocessors and interpreted the execution of CPU processing. More than 160 of the FPGA-based SBC-01 controllers replacing the Intel 8085 Microprocessor-based Printed Circuit Boards have been installed and running successfully for safety I and C applications over the last five years. In this upgrade, the new FPGA-based controller board SBC-01 emulated the functions of Intel 8085 microprocessor correctly. It is a successful and cost-effective upgrade.vIn this paper, lifecycle design and implementation process and rigorous V and V activities that were used in the

  20. FPGAs Emulate Microprocessors-A Successful Case for HFC NPP Digital I and C Upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Allen; Crow, Ivan; Reese, Carl; Kim, Jong; Yang, Steve [Doosan HF Controls Corp, Carrollton (United States)

    2014-08-15

    Field Programmable Gate Arrays (FPGAs), as programmable logic devices (PLDs) have gained a great deal of interests for implementing safety I and C applications in nuclear power plants (NPPs) largely owing to the FPGAs'potential advantage over the currently more common microprocessor-based digital I and C applications. First of all, FPGAs have adequate capabilities for most digital I and C applications in NPPs. Secondly, FPGAs provide products with longer lifetime, improve testability, and reduce the drift which occurs in analog-based systems, from hardware perspective. Thirdly, FPGAs, from software perspective, can be made simpler, less reliant on complex software such as operating systems, which should make FPGAs easier to qualify for nuclear safety applications. Fourthly, FPGAs are less vulnerable to cyber attacks when FPGAs implement the I and C systems that do not contain high-level, general purpose software that may be easily subjected to malicious modifications. Finally, FPGAs can bring cost reduction in an I and C digital upgrade because FPGAs can provide simpler licensing process than microprocessor-based digital I and C, and FPGAs can be implemented more efficiently. This paper will present one successful case for YGN Unit I and C upgrade using FPGA-based components to replace the obsolete Intel 8085 Microprocessor-based controllers. In this case, FPGAs emulated the process of the existing microprocessors and interpreted the execution of CPU processing. More than 160 of the FPGA-based SBC-01 controllers replacing the Intel 8085 Microprocessor-based Printed Circuit Boards have been installed and running successfully for safety I and C applications over the last five years. In this upgrade, the new FPGA-based controller board SBC-01 emulated the functions of Intel 8085 microprocessor correctly. It is a successful and cost-effective upgrade.vIn this paper, lifecycle design and implementation process and rigorous V and V activities that were used in the

  1. Multichannel analyzer based on microprocessors

    International Nuclear Information System (INIS)

    Soares, M.

    1983-06-01

    A multichannel analyser for nuclear spectrometry, that would attend the needs of research laboratories and could be industrialized in Brazil, was developed. The design was based on INTEL 8080/85 microprocessors; other processors were also used to implement specific functions, such as shared busbar using direct memory access. A prototype was developed and tested through simulation, using a nuclear spectrometry chain. The results were fully satisfactory. (Author) [pt

  2. Cardiac output measurement instruments controlled by microprocessors

    International Nuclear Information System (INIS)

    Spector, M.; Barritault, L.; Boeri, C.; Fauchet, M.; Gambini, D.; Vernejoul, P. de

    The nuclear medicine and biophysics laboratory of the Necker-Enfants malades University Hospital Centre has built a microprocessor controlled Cardiac flowmetre. The principle of the cardiac output measurement from a radiocardiogram is well established. After injection of a radioactive indicator upstream from the heart cavities the dilution curve is obtained by the use of a gamma-ray precordial detector. This curve normally displays two peaks due to passage of the indicator into the right and left sides of the heart respectively. The output is then obtained from the stewart Hamilton principle once recirculation is eliminated. The graphic method used for the calculation however is long and tedious. The decreasing fraction of the dilution curve is projected in logarithmic space in order to eliminate recirculation by determining the mean straight line from which the decreasing exponential is obtained. The principle of the use of microprocessors is explained (electronics, logics) [fr

  3. TRIESTE: College on Microprocessors

    International Nuclear Information System (INIS)

    Anon.

    1981-01-01

    The International Centre for Theoretical Physics, set up at Trieste in 1964, has as its major task the provision of a stimulating intellectual environment for physicists from developing countries. This goal is furthered by a varied programme of courses for visiting scientists. Not all the courses remain in the rarefied atmosphere of theory and in September a very successful 'College on Microprocessors: Technology and Applications in Physics' was held. It was a prime example of the efforts being made to spread important modern technology into the developing countries

  4. Microprocessor Activity Controls Differential miRNA Biogenesis In Vivo

    Directory of Open Access Journals (Sweden)

    Thomas Conrad

    2014-10-01

    Full Text Available In miRNA biogenesis, pri-miRNA transcripts are converted into pre-miRNA hairpins. The in vivo properties of this process remain enigmatic. Here, we determine in vivo transcriptome-wide pri-miRNA processing using next-generation sequencing of chromatin-associated pri-miRNAs. We identify a distinctive Microprocessor signature in the transcriptome profile from which efficiency of the endogenous processing event can be accurately quantified. This analysis reveals differential susceptibility to Microprocessor cleavage as a key regulatory step in miRNA biogenesis. Processing is highly variable among pri-miRNAs and a better predictor of miRNA abundance than primary transcription itself. Processing is also largely stable across three cell lines, suggesting a major contribution of sequence determinants. On the basis of differential processing efficiencies, we define functionality for short sequence features adjacent to the pre-miRNA hairpin. In conclusion, we identify Microprocessor as the main hub for diversified miRNA output and suggest a role for uncoupling miRNA biogenesis from host gene expression.

  5. IESIP - AN IMPROVED EXPLORATORY SEARCH TECHNIQUE FOR PURE INTEGER LINEAR PROGRAMMING PROBLEMS

    Science.gov (United States)

    Fogle, F. R.

    1994-01-01

    IESIP, an Improved Exploratory Search Technique for Pure Integer Linear Programming Problems, addresses the problem of optimizing an objective function of one or more variables subject to a set of confining functions or constraints by a method called discrete optimization or integer programming. Integer programming is based on a specific form of the general linear programming problem in which all variables in the objective function and all variables in the constraints are integers. While more difficult, integer programming is required for accuracy when modeling systems with small numbers of components such as the distribution of goods, machine scheduling, and production scheduling. IESIP establishes a new methodology for solving pure integer programming problems by utilizing a modified version of the univariate exploratory move developed by Robert Hooke and T.A. Jeeves. IESIP also takes some of its technique from the greedy procedure and the idea of unit neighborhoods. A rounding scheme uses the continuous solution found by traditional methods (simplex or other suitable technique) and creates a feasible integer starting point. The Hook and Jeeves exploratory search is modified to accommodate integers and constraints and is then employed to determine an optimal integer solution from the feasible starting solution. The user-friendly IESIP allows for rapid solution of problems up to 10 variables in size (limited by DOS allocation). Sample problems compare IESIP solutions with the traditional branch-and-bound approach. IESIP is written in Borland's TURBO Pascal for IBM PC series computers and compatibles running DOS. Source code and an executable are provided. The main memory requirement for execution is 25K. This program is available on a 5.25 inch 360K MS DOS format diskette. IESIP was developed in 1990. IBM is a trademark of International Business Machines. TURBO Pascal is registered by Borland International.

  6. Rewrite systems for integer arithmetic

    NARCIS (Netherlands)

    H.R. Walters (Pum); H. Zantema (Hans)

    1995-01-01

    textabstractWe present three term rewrite systems for integer arithmetic with addition, multiplication, and, in two cases, subtraction. All systems are ground confluent and terminating; termination is proved by semantic labelling and recursive path order. The first system represents numbers by

  7. A new design approach for control circuits of pipelined single-flux-quantum microprocessors

    International Nuclear Information System (INIS)

    Yamanashi, Y; Akimoto, A; Yoshikawa, N; Tanaka, M; Kawamoto, T; Kamiya, Y; Fujimaki, A; Terai, H; Yorozu, S

    2006-01-01

    A novel method of design for controllers of pipelined microprocessors using single-flux-quantum (SFQ) logic has been proposed. The proposed design approach is based on one hot encoding and is very suitable for designing a finite state machine using SFQ logic circuits, where each internal state of the microprocessor is represented by a flip-flop. In this approach, decoding of the internal state can be performed instantaneously, in contrast to the case in the conventional method using a binary state register. Moreover, pipelining is effectively implemented without increasing the circuit size because no pipeline registers are required in the one hot encoding. By using this method, we have designed a controller for our new SFQ microprocessors, which employs pipelining. The number of Josephson junctions of the newly designed controller is 1067, while the previous version without pipelining contains 1721 Josephson junctions. These results indicate that the proposed design approach is very effective for pipelined SFQ microprocessors. We have implemented a new controller using the NEC 2.5 kA cm -2 Nb standard process and confirmed its correct operation experimentally

  8. Microprocessors: From basic chips to complete systems

    International Nuclear Information System (INIS)

    Dobinson, R.W.

    1985-01-01

    These lectures aim to present and explain in general terms some of the characteristics of microprocessor chips and associated components. They show how systems are synthesized from the basic integrated circuit building blocks which are currently available; processor, memory, input-output (I/0) devices, etc. (orig./HSI)

  9. Microprocessor Controlled Capacitor Bank Switching System for ...

    African Journals Online (AJOL)

    In this work, analysis and development of a microprocessor controlled capacitor bank switching system for deployment in a smart distribution network was carried out. This system was implemented by the use of discreet components such as resistors, capacitors, transistor, diode, automatic voltage regulator, with the ...

  10. Design and Implementation of O/C relay using Microprocessor

    Directory of Open Access Journals (Sweden)

    Dr.Abdul-Sattar H. Jasim

    2012-03-01

    Full Text Available This work presents the design and implementation of a versatile digital overcurrent (O/C relay using a single microprocessor. The relay is implemented by a combination of a look-up table and a counter. The software development and hardware testing are done using a microcomputer module based on a 8-bit microprocessor. The digital processing of measured currents enables a separate setting of operating values selection of all types of inverse or constant time characteristics overcurrent protection. This protection provides reasonably fast tripping, even at terminal close to the power source were the most serve faults can occur excluding the transient condition. So this method has an excellent compromise between accuracy hardware and speed

  11. Rewrite systems for integer arithmetic

    NARCIS (Netherlands)

    Walters, H.R.; Zantema, H.

    1994-01-01

    We present three term rewrite systems for integer arithmetic with addition, multiplication, and, in two cases, subtraction. All systems are ground con uent and terminating; termination is proved by semantic labelling and recursive path order. The first system represents numbers by successor and

  12. A few Smarandache Integer Sequences

    OpenAIRE

    Ibstedt, Henry

    2010-01-01

    This paper deals with the analysis of a few Smarandache Integer Sequences which first appeared in Properties or the Numbers, F. Smarandache, University or Craiova Archives, 1975. The first four sequences are recurrence generated sequences while the last three are concatenation sequences.

  13. High-speed multiple-channel analog to digital data-acquisition module for microprocessor systems

    International Nuclear Information System (INIS)

    Ethridge, C.D.

    1977-01-01

    Intelligent data acquisition and instrumentation systems established by the incorporation of microprocessor technology require high-speed analog to digital conversion of multiple-channel input signals. Sophisticated data systems or subsystems are enabled by the microprocessor software flexibility to establish adaptive input data procedures. These adaptive procedures are enhanced by versatile interface circuitry which is software controlled

  14. An Asynchronous Circuit Design Technique for a Flexible 8-Bit Microprocessor

    Science.gov (United States)

    Karaki, Nobuo; Nanmoto, Takashi; Inoue, Satoshi

    This paper presents an asynchronous design technique, an enabler for the emerging technology of flexible microelectronics that feature low-temperature processed polysilicon (LTPS) thin-film transistors (TFT) and surface-free technology by laser annealing/ablation (SUFTLA®). The first design instance chosen is an 8-bit microprocessor. LTPS TFTs are good for realizing displays having integrated VLSI circuit at lower costs. However, LTPS TFTs have drawbacks, including substantial deviations in characteristics and the self-heating phenomenon. To solve these problems, the authors adopted the asynchronous circuit design technique and developed an asynchronous design language called Verilog+, which is based on a subset of Verilog HDL® and includes minimal primitives used for describing the communications between modules, and the dedicated tools including a translator called xlator and a synthesizer called ctrlsyn. The flexible 8-bit microprocessor stably operates at 500kHz, drawing 180μA from a 5V power source. The microprocessor's electromagnetic emissions are 21dB less than those of the synchronous counterpart.

  15. A time series model: First-order integer-valued autoregressive (INAR(1))

    Science.gov (United States)

    Simarmata, D. M.; Novkaniza, F.; Widyaningsih, Y.

    2017-07-01

    Nonnegative integer-valued time series arises in many applications. A time series model: first-order Integer-valued AutoRegressive (INAR(1)) is constructed by binomial thinning operator to model nonnegative integer-valued time series. INAR (1) depends on one period from the process before. The parameter of the model can be estimated by Conditional Least Squares (CLS). Specification of INAR(1) is following the specification of (AR(1)). Forecasting in INAR(1) uses median or Bayesian forecasting methodology. Median forecasting methodology obtains integer s, which is cumulative density function (CDF) until s, is more than or equal to 0.5. Bayesian forecasting methodology forecasts h-step-ahead of generating the parameter of the model and parameter of innovation term using Adaptive Rejection Metropolis Sampling within Gibbs sampling (ARMS), then finding the least integer s, where CDF until s is more than or equal to u . u is a value taken from the Uniform(0,1) distribution. INAR(1) is applied on pneumonia case in Penjaringan, Jakarta Utara, January 2008 until April 2016 monthly.

  16. Integers without large prime factors in short intervals: Conditional ...

    Indian Academy of Sciences (India)

    α > 0 the interval (X, X +. √. X(log X)1/2+o(1)] contains an integer having no prime factor exceeding Xα for all X sufficiently large. Keywords. Smooth numbers; Riemann zeta function. 1. Introduction. Suppose P (n) denotes the largest prime factor of an integer n > 1 and let us declare. P(1) = 1. Given a positive real number y, ...

  17. Parallel Integer Factorization Using Quadratic Forms

    National Research Council Canada - National Science Library

    McMath, Stephen S

    2005-01-01

    Factorization is important for both practical and theoretical reasons. In secure digital communication, security of the commonly used RSA public key cryptosystem depends on the difficulty of factoring large integers...

  18. Optimal Diet Planning for Eczema Patient Using Integer Programming

    Science.gov (United States)

    Zhen Sheng, Low; Sufahani, Suliadi

    2018-04-01

    Human diet planning is conducted by choosing appropriate food items that fulfill the nutritional requirements into the diet formulation. This paper discusses the application of integer programming to build the mathematical model of diet planning for eczema patients. The model developed is used to solve the diet problem of eczema patients from young age group. The integer programming is a scientific approach to select suitable food items, which seeks to minimize the costs, under conditions of meeting desired nutrient quantities, avoiding food allergens and getting certain foods into the diet that brings relief to the eczema conditions. This paper illustrates that the integer programming approach able to produce the optimal and feasible solution to deal with the diet problem of eczema patient.

  19. Microprocessors control of fermentation process

    Energy Technology Data Exchange (ETDEWEB)

    Fawzy, A S; Hinton, O R

    1980-01-01

    This paper presents three schemes for the solution of the optimal control of fermentation process. It also shows the advantages of using microprocessors in controlling and monitoring this process. A linear model of the system is considered. An optimal feedback controller is determined which maintains the states (substrate and organisms concentration) at desired values when the system is subjected to disturbances in the influent substrate and organisms concentration. Simulation results are presented for the three cases.

  20. Microprocessor-based stepping motor driver

    International Nuclear Information System (INIS)

    Halbig, J.K.; Klosterbuer, S.F.

    1979-09-01

    The Pion Generation for Medical Irradiations (PIGMI) program at the Los Alamos Scientific Laboratory requires a versatile stepping motor driver to do beam diagnostic measurements. A driver controlled by a microprocessor that can move eight stepping motors simultaneously was designed. The driver can monitor and respond to clockwise- and counterclockwise-limit switches, and it can monitor a 0- to 10-V dc position signal. The software controls start and stop ramping and maximum stepping rates. 2 figures, 1 table

  1. A new algorithm for benchmarking in integer data envelopment analysis

    Directory of Open Access Journals (Sweden)

    M. M. Omran

    2012-08-01

    Full Text Available The aim of this study is to investigate the effect of integer data in data envelopment analysis (DEA. The inputs and outputs in different types of DEA are considered to be continuous. In most application-oriented problems, some or all data are integers; and subsequently, the continuous condition of the values is omitted. For example, situations in which the inputs/outputs are representatives of the number of cars, people, etc. In fact, the benchmark unit is artificial and does not contain integer inputs/outputs after projection on the efficiency frontier. By rounding off the projection point, we may lose the feasibility or end up having inefficient DMU. In such cases, it is required to provide a benchmark unit such that the considered unit reaches the efficiency. In the present short communication, by proposing a novel algorithm, the projecting of an inefficient DMU is carried out in such a way that produced benchmarking takes values with fully integer inputs/outputs.

  2. Optimization of Reciprocals and Square Roots on the i860 Microprocessor

    DEFF Research Database (Denmark)

    Sinclair, Robert

    1996-01-01

    The i860 microprocessor lacks both a divide and a square root instruction. The consequences of this for code involving many reciprocal square roots, such as many-body simulations involving Coulomb-like potentials, are discussed with a particular emphasis on high performance.......The i860 microprocessor lacks both a divide and a square root instruction. The consequences of this for code involving many reciprocal square roots, such as many-body simulations involving Coulomb-like potentials, are discussed with a particular emphasis on high performance....

  3. Microprocessor protection devices: The present and the future

    Directory of Open Access Journals (Sweden)

    Gurevich Vladimir

    2008-01-01

    Full Text Available Paper presents the analysis of the basic constructive disadvantages of the present day microprocessor-based protective devices (MBR and offers the basic principles for creating a new MBR that can be used in newly constructed devices.

  4. Stochastic programming with integer recourse

    NARCIS (Netherlands)

    van der Vlerk, Maarten Hendrikus

    1995-01-01

    In this thesis we consider two-stage stochastic linear programming models with integer recourse. Such models are at the intersection of two different branches of mathematical programming. On the one hand some of the model parameters are random, which places the problem in the field of stochastic

  5. Ramsey theory on the integers

    CERN Document Server

    Landman, Bruce M

    2014-01-01

    Ramsey theory is the study of the structure of mathematical objects that is preserved under partitions. In its full generality, Ramsey theory is quite powerful, but can quickly become complicated. By limiting the focus of this book to Ramsey theory applied to the set of integers, the authors have produced a gentle, but meaningful, introduction to an important and enticing branch of modern mathematics. Ramsey Theory on the Integers offers students a glimpse into the world of mathematical research and the opportunity for them to begin pondering unsolved problems. For this new edition, several sections have been added and others have been significantly updated. Among the newly introduced topics are: rainbow Ramsey theory, an "inequality" version of Schur's theorem, monochromatic solutions of recurrence relations, Ramsey results involving both sums and products, monochromatic sets avoiding certain differences, Ramsey properties for polynomial progressions, generalizations of the Erdős-Ginzberg-Ziv theorem, and t...

  6. Suppression of tunneling by interference in half-integer--spin particles

    OpenAIRE

    Loss, Daniel; DiVincenzo, David P.; Grinstein, G.

    1992-01-01

    Within a wide class of ferromagnetic and antiferromagnetic systems, quantum tunneling of magnetization direction is spin-parity dependent: it vanishes for magnetic particles with half-integer spin, but is allowed for integer spin. A coherent-state path integral calculation shows that this topological effect results from interference between tunneling paths.

  7. Architectural and compiler techniques for energy reduction in high-performance microprocessors

    Science.gov (United States)

    Bellas, Nikolaos

    1999-11-01

    The microprocessor industry has started viewing power, along with area and performance, as a decisive design factor in today's microprocessors. The increasing cost of packaging and cooling systems poses stringent requirements on the maximum allowable power dissipation. Most of the research in recent years has focused on the circuit, gate, and register-transfer (RT) levels of the design. In this research, we focus on the software running on a microprocessor and we view the program as a power consumer. Our work concentrates on the role of the compiler in the construction of "power-efficient" code, and especially its interaction with the hardware so that unnecessary processor activity is saved. We propose techniques that use extra hardware features and compiler-driven code transformations that specifically target activity reduction in certain parts of the CPU which are known to be large power and energy consumers. Design for low power/energy at this level of abstraction entails larger energy gains than in the lower stages of the design hierarchy in which the design team has already made the most important design commitments. The role of the compiler in generating code which exploits the processor organization is also fundamental in energy minimization. Hence, we propose a hardware/software co-design paradigm, and we show what code transformations are necessary by the compiler so that "wasted" power in a modern microprocessor can be trimmed. More specifically, we propose a technique that uses an additional mini cache located between the instruction cache (I-Cache) and the CPU core; the mini cache buffers instructions that are nested within loops and are continuously fetched from the I-Cache. This mechanism can create very substantial energy savings, since the I-Cache unit is one of the main power consumers in most of today's high-performance microprocessors. Results are reported for the SPEC95 benchmarks in the R-4400 processor which implements the MIPS2 instruction

  8. Using Integer Clocks to Verify the Timing-Sync Sensor Network Protocol

    Science.gov (United States)

    Huang, Xiaowan; Singh, Anu; Smolka, Scott A.

    2010-01-01

    We use the UPPAAL model checker for Timed Automata to verify the Timing-Sync time-synchronization protocol for sensor networks (TPSN). The TPSN protocol seeks to provide network-wide synchronization of the distributed clocks in a sensor network. Clock-synchronization algorithms for sensor networks such as TPSN must be able to perform arithmetic on clock values to calculate clock drift and network propagation delays. They must be able to read the value of a local clock and assign it to another local clock. Such operations are not directly supported by the theory of Timed Automata. To overcome this formal-modeling obstacle, we augment the UPPAAL specification language with the integer clock derived type. Integer clocks, which are essentially integer variables that are periodically incremented by a global pulse generator, greatly facilitate the encoding of the operations required to synchronize clocks as in the TPSN protocol. With this integer-clock-based model of TPSN in hand, we use UPPAAL to verify that the protocol achieves network-wide time synchronization and is devoid of deadlock. We also use the UPPAAL Tracer tool to illustrate how integer clocks can be used to capture clock drift and resynchronization during protocol execution

  9. Non-integer viscoelastic constitutive law to model soft biological tissues to in-vivo indentation.

    Science.gov (United States)

    Demirci, Nagehan; Tönük, Ergin

    2014-01-01

    During the last decades, derivatives and integrals of non-integer orders are being more commonly used for the description of constitutive behavior of various viscoelastic materials including soft biological tissues. Compared to integer order constitutive relations, non-integer order viscoelastic material models of soft biological tissues are capable of capturing a wider range of viscoelastic behavior obtained from experiments. Although integer order models may yield comparably accurate results, non-integer order material models have less number of parameters to be identified in addition to description of an intermediate material that can monotonically and continuously be adjusted in between an ideal elastic solid and an ideal viscous fluid. In this work, starting with some preliminaries on non-integer (fractional) calculus, the "spring-pot", (intermediate mechanical element between a solid and a fluid), non-integer order three element (Zener) solid model, finally a user-defined large strain non-integer order viscoelastic constitutive model was constructed to be used in finite element simulations. Using the constitutive equation developed, by utilizing inverse finite element method and in vivo indentation experiments, soft tissue material identification was performed. The results indicate that material coefficients obtained from relaxation experiments, when optimized with creep experimental data could simulate relaxation, creep and cyclic loading and unloading experiments accurately. Non-integer calculus viscoelastic constitutive models, having physical interpretation and modeling experimental data accurately is a good alternative to classical phenomenological viscoelastic constitutive equations.

  10. 7th Conference on Non-Integer Order Calculus and Its Applications

    CERN Document Server

    Dworak, Paweł

    2016-01-01

    This volume is devoted to presentation of new results of research on systems of non-integer order, called also fractional systems. Their analysis and practical implementation have been the object of spontaneous development for a few last decades. The fractional order models can depict a physical plant better than the classical integer order ones. This covers different research fields such as insulator properties, visco-elastic materials, electrodynamic, electrothermal, electrochemical, economic processes modelling etc. On the other hand fractional controllers often outperform their integer order counterparts. This volume contains new ideas and examples of implementation, theoretical and pure practical aspects of using a non-integer order calculus. It is divided into four parts covering: mathematical fundamentals, modeling and approximations, controllability, observability and stability problems and practical applications of fractional control systems. The first part expands the base of tools and methods of th...

  11. Frozen density embedding with non-integer subsystems' particle numbers.

    Science.gov (United States)

    Fabiano, Eduardo; Laricchia, Savio; Della Sala, Fabio

    2014-03-21

    We extend the frozen density embedding theory to non-integer subsystems' particles numbers. Different features of this formulation are discussed, with special concern for approximate embedding calculations. In particular, we highlight the relation between the non-integer particle-number partition scheme and the resulting embedding errors. Finally, we provide a discussion of the implications of the present theory for the derivative discontinuity issue and the calculation of chemical reactivity descriptors.

  12. The Microprocessor controls the activity of mammalian retrotransposons

    DEFF Research Database (Denmark)

    Heras, Sara R.; Macias, Sara; Plass, Mireya

    2013-01-01

    RNA biogenesis, also recognizes and binds RNAs derived from human long interspersed element 1 (LINE-1), Alu and SVA retrotransposons. Expression analyses demonstrate that cells lacking a functional Microprocessor accumulate LINE-1 mRNA and encoded proteins. Furthermore, we show that structured regions...

  13. Single-Event Upset and Scaling Trends in New Generation of the Commercial SOI PowerPC Microprocessors

    Science.gov (United States)

    Irom, Farokh; Farmanesh, Farhad; Kouba, Coy K.

    2006-01-01

    Single-event upset effects from heavy ions are measured for Motorola silicon-on-insulator (SOI) microprocessor with 90 nm feature sizes. The results are compared with previous results for SOI microprocessors with feature sizes of 130 and 180 nm. The cross section of the 90 nm SOI processors is smaller than results for 130 and 180 nm counterparts, but the threshold is about the same. The scaling of the cross section with reduction of feature size and core voltage for SOI microprocessors is discussed.

  14. Microprocessor-controlled portable neutron spectrometer

    International Nuclear Information System (INIS)

    Hunt, G.F.; Kaifer, R.C.; Slaughter, D.R.; Strout, R.E. II; Rueppel, D.W.

    1979-01-01

    A neutron spectrometer that acquires and unfolds data in the field has been developed for use in the energy range from 1 to 20 MeV. The system includes an NE213 organic scintillation detector, automatic gain stabilization, automatically stabilized pulseshape discrimination, an LSl-11 microprocessor for control and data reduction, and a multichannel analyzer for data acquisition. The system, with the exception of the multichannel analyzer, is mounted in a suitcase 47 by 66 by 23.5 cm. The mass is 23.5 kg

  15. Deterministic integer multiple firing depending on initial state in Wang model

    Energy Technology Data Exchange (ETDEWEB)

    Xie Yong [Institute of Nonlinear Dynamics, MSSV, Department of Engineering Mechanics, Xi' an Jiaotong University, Xi' an 710049 (China)]. E-mail: yxie@mail.xjtu.edu.cn; Xu Jianxue [Institute of Nonlinear Dynamics, MSSV, Department of Engineering Mechanics, Xi' an Jiaotong University, Xi' an 710049 (China); Jiang Jun [Institute of Nonlinear Dynamics, MSSV, Department of Engineering Mechanics, Xi' an Jiaotong University, Xi' an 710049 (China)

    2006-12-15

    We investigate numerically dynamical behaviour of the Wang model, which describes the rhythmic activities of thalamic relay neurons. The model neuron exhibits Type I excitability from a global view, but Type II excitability from a local view. There exists a narrow range of bistability, in which a subthreshold oscillation and a suprathreshold firing behaviour coexist. A special firing pattern, integer multiple firing can be found in the certain part of the bistable range. The characteristic feature of such firing pattern is that the histogram of interspike intervals has a multipeaked structure, and the peaks are located at about integer multiples of a basic interspike interval. Since the Wang model is noise-free, the integer multiple firing is a deterministic firing pattern. The existence of bistability leads to the deterministic integer multiple firing depending on the initial state of the model neuron, i.e., the initial values of the state variables.

  16. Deterministic integer multiple firing depending on initial state in Wang model

    International Nuclear Information System (INIS)

    Xie Yong; Xu Jianxue; Jiang Jun

    2006-01-01

    We investigate numerically dynamical behaviour of the Wang model, which describes the rhythmic activities of thalamic relay neurons. The model neuron exhibits Type I excitability from a global view, but Type II excitability from a local view. There exists a narrow range of bistability, in which a subthreshold oscillation and a suprathreshold firing behaviour coexist. A special firing pattern, integer multiple firing can be found in the certain part of the bistable range. The characteristic feature of such firing pattern is that the histogram of interspike intervals has a multipeaked structure, and the peaks are located at about integer multiples of a basic interspike interval. Since the Wang model is noise-free, the integer multiple firing is a deterministic firing pattern. The existence of bistability leads to the deterministic integer multiple firing depending on the initial state of the model neuron, i.e., the initial values of the state variables

  17. 5th Conference on Non-integer Order Calculus and Its Applications

    CERN Document Server

    Kacprzyk, Janusz; Baranowski, Jerzy

    2013-01-01

    This volume presents various aspects of non-integer order systems, also known as fractional systems, which have recently attracted an increasing attention in the scientific community of systems science, applied mathematics, control theory. Non-integer systems have become relevant for many fields of science and technology exemplified by the modeling of signal transmission, electric noise, dielectric polarization, heat transfer, electrochemical reactions, thermal processes,  acoustics, etc. The content is divided into six parts, every of which considers one of the currently relevant problems. In the first part the Realization problem is discussed, with a special focus on positive systems. The second part considers stability of certain classes of non-integer order systems with and without delays. The third part is focused on such important aspects as controllability, observability and optimization especially in discrete time. The fourth part is focused on distributed systems where non-integer calculus leads to ...

  18. Performance Analysis for Cooperative Communication System with QC-LDPC Codes Constructed with Integer Sequences

    Directory of Open Access Journals (Sweden)

    Yan Zhang

    2015-01-01

    Full Text Available This paper presents four different integer sequences to construct quasi-cyclic low-density parity-check (QC-LDPC codes with mathematical theory. The paper introduces the procedure of the coding principle and coding. Four different integer sequences constructing QC-LDPC code are compared with LDPC codes by using PEG algorithm, array codes, and the Mackey codes, respectively. Then, the integer sequence QC-LDPC codes are used in coded cooperative communication. Simulation results show that the integer sequence constructed QC-LDPC codes are effective, and overall performance is better than that of other types of LDPC codes in the coded cooperative communication. The performance of Dayan integer sequence constructed QC-LDPC is the most excellent performance.

  19. Real-time fetal ECG system design using embedded microprocessors

    Science.gov (United States)

    Meyer-Baese, Uwe; Muddu, Harikrishna; Schinhaerl, Sebastian; Kumm, Martin; Zipf, Peter

    2016-05-01

    The emphasis of this project lies in the development and evaluation of new robust and high fidelity fetal electrocardiogram (FECG) systems to determine the fetal heart rate (FHR). Recently several powerful algorithms have been suggested to improve the FECG fidelity. Until now it is unknown if these algorithms allow a real-time processing, can be used in mobile systems (low power), and which algorithm produces the best error rate for a given system configuration. In this work we have developed high performance, low power microprocessor-based biomedical systems that allow a fair comparison of proposed, state-of-the-art FECG algorithms. We will evaluate different soft-core microprocessors and compare these solutions to other commercial off-the-shelf (COTS) hardcore solutions in terms of price, size, power, and speed.

  20. Searching for optimal integer solutions to set partitioning problems using column generation

    OpenAIRE

    Bredström, David; Jörnsten, Kurt; Rönnqvist, Mikael

    2007-01-01

    We describe a new approach to produce integer feasible columns to a set partitioning problem directly in solving the linear programming (LP) relaxation using column generation. Traditionally, column generation is aimed to solve the LP relaxation as quick as possible without any concern of the integer properties of the columns formed. In our approach we aim to generate the columns forming the optimal integer solution while simultaneously solving the LP relaxation. By this we can re...

  1. DESIGN STUDY: INTEGER SUBTRACTION OPERATION TEACHING LEARNING USING MULTIMEDIA IN PRIMARY SCHOOL

    Directory of Open Access Journals (Sweden)

    Rendi Muhammad Aris

    2016-12-01

    Full Text Available This study aims to develop a learning trajectory to help students understand concept of subtraction of integers using multimedia in the fourth grade. This study is thematic integrative learning in Curriculum 2013 PMRI based. The method used is design research consists of three stages; preparing for the experiment, design experiment, retrospective analysis. The studied was conducted on 20 students of grade four SDN 1 Muara Batun, OKI. The activities of students in this study consisted of six learning trajectories. The first activity asks the students to classify heroism and non-heroism acts, summarize, and classify integers and non-integer. The second activity asks the students to answer the questions in the film given. The third activity asks students to count the remaining gravel in the film. The fourth activity asks students to count remaining spent money in the film. The fifth activity invites students to play rubber seeds in the bag. The last activity asks students to answer the questions in the student worksheet. The media used along the learning activities are a ruler, rubber seed, student worksheet, money, gravel, and film. The results indicate that the learning trajectory using multimedia help students understand the concept of integer subtraction integer. Keywords: Subtraction Integer, PMRI, Multimedia DOI: http://dx.doi.org/10.22342/jme.8.1.3233.95-102

  2. Design analysis and microprocessor based control of a nuclear reactor

    International Nuclear Information System (INIS)

    Sabbakh, N.J.

    1988-01-01

    The object of this thesis is to design and test a microprocessor based controller, to a simulated nuclear reactor system. The mathematical model that describes the dynamics of a typical nuclear reactor of one group of delayed neutrons approximations with temperature feedback was chosen. A digital computer program has been developed for the design and analysis of a simulated model based on the concept of state-variable feedback in order to meet a desired system response with maximum overshoot of 3.4% and setting time of 4 sec. The state variable feedback coefficients are designed for the continuous system, then an approximation is used to obtain in the state variable feedback vector for the discrete system. System control was implemented utilizing Direct Digital Control (DDC) of a nuclear reactor simulated model through a control algorithm that was performed by means of a microprocessor based system. The controller performance was satisfactorily tested by exciting the reactor system with a transient reactivity disturbance and by a step change in power demand. Direct digital control, when implemented on a microprocessor adds versatility, flexibility in system design with the added advantage of possible use of optimal control algorithms. 6 tabs.; 30 figs.; 46 refs.; 6 apps

  3. Designs and performance of three new microprocessor-controlled knee joints.

    Science.gov (United States)

    Thiele, Julius; Schöllig, Christina; Bellmann, Malte; Kraft, Marc

    2018-02-09

    A crossover design study with a small group of subjects was used to evaluate the performance of three microprocessor-controlled exoprosthetic knee joints (MPKs): C-Leg 4, Plié 3 and Rheo Knee 3. Given that the mechanical designs and control algorithms of the joints determine the user outcome, the influence of these inherent differences on the functional characteristics was investigated in this study. The knee joints were evaluated during level-ground walking at different velocities in a motion analysis laboratory. Additionally, technical analyses using patents, technical documentations and X-ray computed tomography (CT) for each knee joint were performed. The technical analyses showed that only C-Leg 4 and Rheo Knee 3 allow microprocessor-controlled adaptation of the joint resistances for different gait velocities. Furthermore, Plié 3 is not able to provide stance extension damping. The biomechanical results showed that only if a knee joint adapts flexion and extension resistances by the microprocessor all known advantages of MPKs can become apparent. But not all users may benefit from the examined functions: e.g. a good accommodation to fast walking speeds or comfortable stance phase flexion. Hence, a detailed comparison of user demands and performance of the designated knee joint is mandatory to ensure a maximum in user outcome.

  4. Neutron beam irradiation study of workload dependence of SER in a microprocessor

    Energy Technology Data Exchange (ETDEWEB)

    Michalak, Sarah E [Los Alamos National Laboratory; Graves, Todd L [Los Alamos National Laboratory; Hong, Ted [STANFORD; Ackaret, Jerry [IBM; Sonny, Rao [IBM; Subhasish, Mitra [STANFORD; Pia, Sanda [IBM

    2009-01-01

    It is known that workloads are an important factor in soft error rates (SER), but it is proving difficult to find differentiating workloads for microprocessors. We have performed neutron beam irradiation studies of a commercial microprocessor under a wide variety of workload conditions from idle, performing no operations, to very busy workloads resembling real HPC, graphics, and business applications. There is evidence that the mean times to first indication of failure, MTFIF defined in Section II, may be different for some of the applications.

  5. Sabrewing: A lightweight architecture for combined floating-point and integer arithmetic

    NARCIS (Netherlands)

    Bruintjes, Tom; Walters, K.H.G.; Gerez, Sabih H.; Molenkamp, Egbert; Smit, Gerardus Johannes Maria

    In spite of the fact that floating-point arithmetic is costly in terms of silicon area, the joint design of hardware for floating-point and integer arithmetic is seldom considered. While components like multipliers and adders can potentially be shared, floating-point and integer units in

  6. A microprocessor based on a two-dimensional semiconductor

    Science.gov (United States)

    Wachter, Stefan; Polyushkin, Dmitry K.; Bethge, Ole; Mueller, Thomas

    2017-04-01

    The advent of microcomputers in the 1970s has dramatically changed our society. Since then, microprocessors have been made almost exclusively from silicon, but the ever-increasing demand for higher integration density and speed, lower power consumption and better integrability with everyday goods has prompted the search for alternatives. Germanium and III-V compound semiconductors are being considered promising candidates for future high-performance processor generations and chips based on thin-film plastic technology or carbon nanotubes could allow for embedding electronic intelligence into arbitrary objects for the Internet-of-Things. Here, we present a 1-bit implementation of a microprocessor using a two-dimensional semiconductor--molybdenum disulfide. The device can execute user-defined programs stored in an external memory, perform logical operations and communicate with its periphery. Our 1-bit design is readily scalable to multi-bit data. The device consists of 115 transistors and constitutes the most complex circuitry so far made from a two-dimensional material.

  7. Hardware math for the 6502 microprocessor

    Science.gov (United States)

    Kissel, R.; Currie, J.

    1985-01-01

    A floating-point arithmetic unit is described which is being used in the Ground Facility of Large Space Structures Control Verification (GF/LSSCV). The experiment uses two complete inertial measurement units and a set of three gimbal torquers in a closed loop to control the structural vibrations in a flexible test article (beam). A 6502 (8-bit) microprocessor controls four AMD 9511A floating-point arithmetic units to do all the computation in 20 milliseconds.

  8. Reversible Integer Wavelet Transform for the Joint of Image Encryption and Watermarking

    Directory of Open Access Journals (Sweden)

    Bin Wang

    2015-01-01

    Full Text Available In recent years, signal processing in the encrypted domain has attracted considerable research interest, especially embedding watermarking in encrypted image. In this work, a novel joint of image encryption and watermarking based on reversible integer wavelet transform is proposed. Firstly, the plain-image is encrypted by chaotic maps and reversible integer wavelet transform. Then the lossless watermarking is embedded in the encrypted image by reversible integer wavelet transform and histogram modification. Finally an encrypted image containing watermarking is obtained by the inverse integer wavelet transform. What is more, the original image and watermarking can be completely recovered by inverse process. Numerical experimental results and comparing with previous works show that the proposed scheme possesses higher security and embedding capacity than previous works. It is suitable for protecting the image information.

  9. Design and implementation of a microprocessor based room ...

    African Journals Online (AJOL)

    This paper describes the development of a microprocessor based room illumination control system that offers advantage of improved efficiency in the use of electrical energy and reduced cost of electricity over manually controlled lighting systems. This system is developed to regulate the intensity of light from direct current ...

  10. The specifications a multichannel analyser using microprocessor

    International Nuclear Information System (INIS)

    Pontes, E.W.

    The idea of a small nuclear data acquisition system (stand - alone CAMAC system) used for spectroscopy, is presented. The system is composed by an autonomous controller with microprocessor with one fast programable unit (1-2 μsec/CAMAC instructions) and with modulus of general functions as: CAMAC memory, interface for video, interface for analogy to digital converter and temporizing. (E.G.) [pt

  11. Microprocessor event analysis in parallel with Camac data acquisition

    International Nuclear Information System (INIS)

    Cords, D.; Eichler, R.; Riege, H.

    1981-01-01

    The Plessey MIPROC-16 microprocessor (16 bits, 250 ns execution time) has been connected to a Camac System (GEC-ELLIOTT System Crate) and shares the Camac access with a Nord-1OS computer. Interfaces have been designed and tested for execution of Camac cycles, communication with the Nord-1OS computer and DMA-transfer from Camac to the MIPROC-16 memory. The system is used in the JADE data-acquisition-system at PETRA where it receives the data from the detector in parallel with the Nord-1OS computer via DMA through the indirect-data-channel mode. The microprocessor performs an on-line analysis of events and the result of various checks is appended to the event. In case of spurious triggers or clear beam gas events, the Nord-1OS buffer will be reset and the event omitted from further processing. (orig.)

  12. The micro-processor controlled process radiation monitoring system for reactor safety systems

    International Nuclear Information System (INIS)

    Mizuno, K.; Noguchi, A.; Kumagami, S.; Gotoh, Y.; Kumahara, T.; Arita, S.

    1986-01-01

    Digital computers are soon expected to be applied to various real-time safety and safety-related systems in nuclear power plants. Hitachi is now engaged in the development of a micro-processor controlled process radiation monitoring system, which operates on digital processing methods employed with a log ratemeter. A newly defined methodology of design and test procedures is being applied as a means of software program verification for these safety systems. Recently implemented micro-processor technology will help to achieve an advanced man-machine interface and highly reliable performance. (author)

  13. Some software algorithms for microprocessor ratemeters

    International Nuclear Information System (INIS)

    Savic, Z.

    1991-01-01

    After a review of the basic theoretical ratemeter problem and a general discussion of microprocessor ratemeters, a short insight into their hardware organization is given. Three software algorithms are described: the old ones the quasi-exponential and floating mean algorithm, and a new weighted moving average algorithm. The equations for statistical characterization of the new algorithm are given and an intercomparison is made. It is concluded that the new algorithm has statistical advantages over the old ones. (orig.)

  14. Some software algorithms for microprocessor ratemeters

    Energy Technology Data Exchange (ETDEWEB)

    Savic, Z. (Military Technical Inst., Belgrade (Yugoslavia))

    1991-03-15

    After a review of the basic theoretical ratemeter problem and a general discussion of microprocessor ratemeters, a short insight into their hardware organization is given. Three software algorithms are described: the old ones the quasi-exponential and floating mean algorithm, and a new weighted moving average algorithm. The equations for statistical characterization of the new algorithm are given and an intercomparison is made. It is concluded that the new algorithm has statistical advantages over the old ones. (orig.).

  15. Stochastic integer programming by dynamic programming

    NARCIS (Netherlands)

    Lageweg, B.J.; Lenstra, J.K.; Rinnooy Kan, A.H.G.; Stougie, L.; Ermoliev, Yu.; Wets, R.J.B.

    1988-01-01

    Stochastic integer programming is a suitable tool for modeling hierarchical decision situations with combinatorial features. In continuation of our work on the design and analysis of heuristics for such problems, we now try to find optimal solutions. Dynamic programming techniques can be used to

  16. Population transfer HMQC for half-integer quadrupolar nuclei

    International Nuclear Information System (INIS)

    Wang, Qiang; Xu, Jun; Feng, Ningdong; Deng, Feng; Li, Yixuan; Trébosc, Julien; Lafon, Olivier; Hu, Bingwen; Chen, Qun; Amoureux, Jean-Paul

    2015-01-01

    This work presents a detailed analysis of a recently proposed nuclear magnetic resonance method [Wang et al., Chem. Commun. 49(59), 6653-6655 (2013)] for accelerating heteronuclear coherence transfers involving half-integer spin quadrupolar nuclei by manipulating their satellite transitions. This method, called Population Transfer Heteronuclear Multiple Quantum Correlation (PT-HMQC), is investigated in details by combining theoretical analyses, numerical simulations, and experimental investigations. We find that compared to instant inversion or instant saturation, continuous saturation is the most practical strategy to accelerate coherence transfers on half-integer quadrupolar nuclei. We further demonstrate that this strategy is efficient to enhance the sensitivity of J-mediated heteronuclear correlation experiments between two half-integer quadrupolar isotopes (e.g., 27 Al- 17 O). In this case, the build-up is strongly affected by relaxation for small T 2 ′ and J coupling values, and shortening the mixing time makes a huge signal enhancement. Moreover, this concept of population transfer can also be applied to dipolar-mediated HMQC experiments. Indeed, on the AlPO 4 -14 sample, one still observes experimentally a 2-fold shortening of the optimum mixing time albeit with no significant signal gain in the 31 P-( 27 Al) experiments

  17. Nonconformance in electromechanical output relays of microprocessor-based protection devices under actual operating conditions

    OpenAIRE

    Gurevich, Vladimir

    2006-01-01

    Microprocessor-based protection relays are gradually driving out traditional electromechanical and even electronic protection devices from virtually all fields of power and electrical engineering. In this paper, one of many problems of microprocessor-based relays is discussed: nonconformance of miniature electromechanical output relays under actual operation conditions: switching inductive loads (with tripping CB coils or lockout relay coils) at 220 VDC, and "dry" switching of some control ci...

  18. Application of a 16-bit microprocessor to the digital control of machine tools

    International Nuclear Information System (INIS)

    Issaly, Alain

    1979-01-01

    After an overview of machine tools (various types, definition standardization, associated technologies for motors and position sensors), this research thesis describes the principles of computer-based digital control: classification of machine tool command systems, machining programming, programming languages, dialog function, interpolation function, servo-control function, tool compensation function. The author reports the application of a 16-bit microprocessor to the computer-based digital control of a machine tool: feasibility, selection of microprocessor, hardware presentation, software development and description, machining mode, translation-loading mode

  19. Microprocessor, Setx, Xrn2, and Rrp6 Co-operate to Induce Premature Termination of Transcription by RNAPII

    NARCIS (Netherlands)

    Wagschal, Alexandre; Rousset, Emilie; Basavarajaiah, Poornima; Contreras, Xavier; Harwig, Alex; Laurent-Chabalier, Sabine; Nakamura, Mirai; Chen, Xin; Zhang, Ke; Meziane, Oussama; Boyer, Frédéric; Parrinello, Hugues; Berkhout, Ben; Terzian, Christophe; Benkirane, Monsef; Kiernan, Rosemary

    2012-01-01

    Transcription elongation is increasingly recognized as an important mechanism of gene regulation. Here, we show that microprocessor controls gene expression in an RNAi-independent manner. Microprocessor orchestrates the recruitment of termination factors Setx and Xrn2, and the 30-50 exoribonuclease,

  20. Edge states and integer quantum Hall effect in topological insulator thin films.

    Science.gov (United States)

    Zhang, Song-Bo; Lu, Hai-Zhou; Shen, Shun-Qing

    2015-08-25

    The integer quantum Hall effect is a topological state of quantum matter in two dimensions, and has recently been observed in three-dimensional topological insulator thin films. Here we study the Landau levels and edge states of surface Dirac fermions in topological insulators under strong magnetic field. We examine the formation of the quantum plateaux of the Hall conductance and find two different patterns, in one pattern the filling number covers all integers while only odd integers in the other. We focus on the quantum plateau closest to zero energy and demonstrate the breakdown of the quantum spin Hall effect resulting from structure inversion asymmetry. The phase diagrams of the quantum Hall states are presented as functions of magnetic field, gate voltage and chemical potential. This work establishes an intuitive picture of the edge states to understand the integer quantum Hall effect for Dirac electrons in topological insulator thin films.

  1. Network interdiction and stochastic integer programming

    CERN Document Server

    2003-01-01

    On March 15, 2002 we held a workshop on network interdiction and the more general problem of stochastic mixed integer programming at the University of California, Davis. Jesús De Loera and I co-chaired the event, which included presentations of on-going research and discussion. At the workshop, we decided to produce a volume of timely work on the topics. This volume is the result. Each chapter represents state-of-the-art research and all of them were refereed by leading investigators in the respective fields. Problems - sociated with protecting and attacking computer, transportation, and social networks gain importance as the world becomes more dep- dent on interconnected systems. Optimization models that address the stochastic nature of these problems are an important part of the research agenda. This work relies on recent efforts to provide methods for - dressing stochastic mixed integer programs. The book is organized with interdiction papers first and the stochastic programming papers in the second part....

  2. Microprocessor event analysis in parallel with CAMAC data acquisition

    CERN Document Server

    Cords, D; Riege, H

    1981-01-01

    The Plessey MIPROC-16 microprocessor (16 bits, 250 ns execution time) has been connected to a CAMAC System (GEC-ELLIOTT System Crate) and shares the CAMAC access with a Nord-10S computer. Interfaces have been designed and tested for execution of CAMAC cycles, communication with the Nord-10S computer and DMA-transfer from CAMAC to the MIPROC-16 memory. The system is used in the JADE data-acquisition-system at PETRA where it receives the data from the detector in parallel with the Nord-10S computer via DMA through the indirect-data-channel mode. The microprocessor performs an on-line analysis of events and the results of various checks is appended to the event. In case of spurious triggers or clear beam gas events, the Nord-10S buffer will be reset and the event omitted from further processing. (5 refs).

  3. Logic integer programming models for signaling networks.

    Science.gov (United States)

    Haus, Utz-Uwe; Niermann, Kathrin; Truemper, Klaus; Weismantel, Robert

    2009-05-01

    We propose a static and a dynamic approach to model biological signaling networks, and show how each can be used to answer relevant biological questions. For this, we use the two different mathematical tools of Propositional Logic and Integer Programming. The power of discrete mathematics for handling qualitative as well as quantitative data has so far not been exploited in molecular biology, which is mostly driven by experimental research, relying on first-order or statistical models. The arising logic statements and integer programs are analyzed and can be solved with standard software. For a restricted class of problems the logic models reduce to a polynomial-time solvable satisfiability algorithm. Additionally, a more dynamic model enables enumeration of possible time resolutions in poly-logarithmic time. Computational experiments are included.

  4. Microprocessor-based accelerating power level detector

    Energy Technology Data Exchange (ETDEWEB)

    Nagpal, M.; Zarecki, W.; Albrecht, J.C.

    1994-01-01

    An accelerating power level detector was built using state-of-the-art microprocessor technology at Powertech Labs Inc. The detector will monitor the real power flowing in two 300 kV transmission lines out of Kemano Hydroelectric Generating Station and will detect any sudden loss of load due to a fault on either line under certain pre-selected power flow conditions. This paper discusses the criteria of operation for the detector and its implementation details, including digital processing, hardware, and software.

  5. Microprocessor-controlled, programmable ramp voltage generator

    International Nuclear Information System (INIS)

    Hopwood, J.

    1978-11-01

    A special-purpose voltage generator has been developed for driving the quadrupole mass filter of a residual gas analyzer. The generator is microprocessor-controlled with desired ramping parameters programmed by setting front-panel digital thumb switches. The start voltage, stop voltage, and time of each excursion are selectable. A maximum of five start-stop levels may be pre-selected for each program. The ramp voltage is 0 to 10 volts with sweep times from 0.1 to 999.99 seconds

  6. Genomic analysis suggests that mRNA destabilization by the microprocessor is specialized for the auto-regulation of Dgcr8.

    Directory of Open Access Journals (Sweden)

    Archana Shenoy

    2009-09-01

    Full Text Available The Microprocessor, containing the RNA binding protein Dgcr8 and RNase III enzyme Drosha, is responsible for processing primary microRNAs to precursor microRNAs. The Microprocessor regulates its own levels by cleaving hairpins in the 5'UTR and coding region of the Dgcr8 mRNA, thereby destabilizing the mature transcript.To determine whether the Microprocessor has a broader role in directly regulating other coding mRNA levels, we integrated results from expression profiling and ultra high-throughput deep sequencing of small RNAs. Expression analysis of mRNAs in wild-type, Dgcr8 knockout, and Dicer knockout mouse embryonic stem (ES cells uncovered mRNAs that were specifically upregulated in the Dgcr8 null background. A number of these transcripts had evolutionarily conserved predicted hairpin targets for the Microprocessor. However, analysis of deep sequencing data of 18 to 200nt small RNAs in mouse ES, HeLa, and HepG2 indicates that exonic sequence reads that map in a pattern consistent with Microprocessor activity are unique to Dgcr8.We conclude that the Microprocessor's role in directly destabilizing coding mRNAs is likely specifically targeted to Dgcr8 itself, suggesting a specialized cellular mechanism for gene auto-regulation.

  7. Microprocessor controlled pulse charge and testing of batteries

    International Nuclear Information System (INIS)

    Kerezov, A.; Gishin, S.; Ivanov, Ratcho; Savov, S.

    2002-01-01

    The principle of the developed new method for pulse charge of batteries with microprocessor control of the electrochemical processes is the use of current pulses with microprocessor control of the period and the amplitude according to the dynamically changing state of the electrochemical system. In order to realize the method described above a programmable current source was developed. It is connected with a Personal Computer via RS232 standard serial interface in order to control the electrochemical processes. The parameters to be set, the graphical presentation of the pulse current and tension, the used quantity of electricity and electrical energy for every pulse and for the process as a hole are shown on the PC display. In order to test dry-charged and wet-charged batteries a specialized current generator was developed. It is connected also with a Personal Computer via R5232 standard serial interface in order to con-trol the testing of the starting capability of the batteries according to the requirements of the Bulgarian State Standard Ell 60095-1. (Author)

  8. Leak detection system with distributed microprocessor in the primary containment vessel

    International Nuclear Information System (INIS)

    Inahara, K.; Yoshioka, K.; Tomizawa, T.

    1980-01-01

    Responding to the demand for greater improvements of the safety monitoring system, less public radiation exposure, and increase of plant availability, measuring and control systems in nuclear power plants have undergone many improvements. Leak detection systems are also required to give earlier warning, additional accuracy, and continuous monitoring function. This paper describes the drywell sump leakage detection system utilizing a distributed microprocessor, which is a successful application owing to its versatile function and ease of installation. The microprocessor performs various functions such as a rate of level change computation, conversion to leakage flow rate, initiation of alarm, and sump pump control. This system has already been applied to three operating BWR plants that demonstrate its efficiency. (auth)

  9. Elasticity of fractal materials using the continuum model with non-integer dimensional space

    Science.gov (United States)

    Tarasov, Vasily E.

    2015-01-01

    Using a generalization of vector calculus for space with non-integer dimension, we consider elastic properties of fractal materials. Fractal materials are described by continuum models with non-integer dimensional space. A generalization of elasticity equations for non-integer dimensional space, and its solutions for the equilibrium case of fractal materials are suggested. Elasticity problems for fractal hollow ball and cylindrical fractal elastic pipe with inside and outside pressures, for rotating cylindrical fractal pipe, for gradient elasticity and thermoelasticity of fractal materials are solved.

  10. Future microprocessor farms: Offline and online

    International Nuclear Information System (INIS)

    Areti, H.

    1990-01-01

    Microprocessor farms have been successfully employed in high energy physics for both offline analysis and online triggers. As the experiments continue to grow in size, so do the demands for processing power. The preliminary indications are that the large collider experiments will require at least a million VAX-11/780 equivalents of processing power for online trigger decisions and offline event reconstruction. This paper examines the current technology trends and projects the processing power that may be expected with the current farm architectures. 3 refs., 6 figs

  11. High speed serial link for UA1 microprocessor network

    CERN Document Server

    Cittolin, S; Zurfluh, E

    1981-01-01

    The UA1 data acquisition system consists of a set of distributed microprocessor units. An interprocessor link, independent of the CAMAC data readout, has been developed in order to have continuous remote control and run-time data handling, e.g. transmission of calibration programs/parameters, equipment test/status and histogram accumulation. The data transmission system is designed to be used in a loop configuration equipped with transceivers for twisted pair cables (RS-422). As an economical system, it is running as an ancillary serial loop-link between microprocessors, like Data Acquisition Crate Controllers and systems with distributed intelligence. The software driver consists of a loop-controller package, which may run in a BAMBI Computer Language environment and a fully interrupt controlled program for all other secondary stations. A special single-character mode provides a handy link for remote debugging in a pseudo-full-duplex mode. The format is based on the HDLC protocol without sequence numbering. ...

  12. High speed serial link for UA1 microprocessor network

    CERN Document Server

    Cittolin, Sergio; Zurfluh, E

    1981-01-01

    The UA1 data acquisition system consists of a set of distributed microprocessor units. An interprocessor link, independent of the CAMAC data readout, has been developed in order to have continuous remote control and run-time data handling, e.g. transmission of calibration programs/parameters, equipment test/status and histogram accumulation. The data transmission system is designed to be used in a loop configuration equipped with transceivers for twisted pair cables (RS-422). As an economical system, it is running as an ancillary serial loop-link between microprocessors, like data acquisition crate controllers and systems with distributed intelligence. The software driver consists of a loop-controller package, which may run in a BAMBI computer language environment and a fully interrupt controlled program for all other secondary stations. A special single-character mode provides a handy link for remote debugging in a pseudo-full-duplex mode. The format is based on the HDLC protocol without sequence numbering. ...

  13. API testing program - calibration of microprocessor based flowmeters for integrated metering systems

    Energy Technology Data Exchange (ETDEWEB)

    Elliot, Kenneth D. [Omni Flow Computers, Inc., Stafford, TX (United States)

    2005-07-01

    Microprocessor based flowmeter technologies for liquids, such as Coriolis mass meters, and Ultrasonic flowmeters hold great promise. These technologies offer many advantages, such as no rotating parts, self-diagnostic checks, which can help anticipate and warn of impending failures before they have a major impact on the measurement. These meters are substantially different though than other primary devices due to their heavy reliance on the accompanying secondary electronics. One method to prove that they are accurate would be proving the flowmeter, using a pipe prover or small volume prover (SVP), but these proving methods are designed to count 'real time' pulses from a turbine or PD meter between a known volume, they are not designed to count 'time delayed' 'manufactured pulses' from a microprocessor. There are limitations of the manufactured pulse train and it affects the ability of the flowmeter to be proved using current proving technology. The author of this paper, a chairman of an American Petroleum Institute working group, investigated how the 'microprocessor generated pulses' produced by these types of flowmeters, interacted with the existing measurement technologies in use today. Several microprocessor based flowmeter technologies have been tested, including; Ultrasonic, Coriolis, and Helical Turbine with pulse multiplying preamplifier. Wherever possible, flowmeters of various sizes, and from several vendors have been tested. A significant amount of data has been collected which sheds light into why these types of flowmeters are sometimes difficult to prove. This paper describes the API testing program, and the methodology behind it. It presents results and findings, and offers specific recommendations that may eventually be incorporated into API documents and/or standards in the future. (author)

  14. A note on number fields having reciprocal integer generators | Zaïmi ...

    African Journals Online (AJOL)

    We prove that a totally complex algebraic number field K; having a conjugate which is not closed under complex conjugation, can be generated by a reciprocal integer, when the Galois group of its normal closure is contained in the hyperoctahedral group Bdeg(K)/2. Keywords: Reciprocal integers, unit primitive elements, ...

  15. Predecessor queries in dynamic integer sets

    DEFF Research Database (Denmark)

    Brodal, Gerth Stølting

    1997-01-01

    We consider the problem of maintaining a set of n integers in the range 0.2w–1 under the operations of insertion, deletion, predecessor queries, minimum queries and maximum queries on a unit cost RAM with word size w bits. Let f (n) be an arbitrary nondecreasing smooth function satisfying n...

  16. Vector calculus in non-integer dimensional space and its applications to fractal media

    Science.gov (United States)

    Tarasov, Vasily E.

    2015-02-01

    We suggest a generalization of vector calculus for the case of non-integer dimensional space. The first and second orders operations such as gradient, divergence, the scalar and vector Laplace operators for non-integer dimensional space are defined. For simplification we consider scalar and vector fields that are independent of angles. We formulate a generalization of vector calculus for rotationally covariant scalar and vector functions. This generalization allows us to describe fractal media and materials in the framework of continuum models with non-integer dimensional space. As examples of application of the suggested calculus, we consider elasticity of fractal materials (fractal hollow ball and fractal cylindrical pipe with pressure inside and outside), steady distribution of heat in fractal media, electric field of fractal charged cylinder. We solve the correspondent equations for non-integer dimensional space models.

  17. An overview of solution methods for multi-objective mixed integer linear programming programs

    DEFF Research Database (Denmark)

    Andersen, Kim Allan; Stidsen, Thomas Riis

    Multiple objective mixed integer linear programming (MOMIP) problems are notoriously hard to solve to optimality, i.e. finding the complete set of non-dominated solutions. We will give an overview of existing methods. Among those are interactive methods, the two phases method and enumeration...... methods. In particular we will discuss the existing branch and bound approaches for solving multiple objective integer programming problems. Despite the fact that branch and bound methods has been applied successfully to integer programming problems with one criterion only a few attempts has been made...

  18. Microprocessor controlled digital period meter

    International Nuclear Information System (INIS)

    Keefe, D.J.; McDowell, W.P.; Rusch, G.K.

    1980-01-01

    A microprocessor controlled digital period meter has been developed and tested operationally on a reactor at Argonne National Laboratory. The principle of operation is the mathematical relationship between asymptotic periods and pulse counting circuitry. This relationship is used to calculate and display the reactor periods over a range of /plus or minus/1 second to /plus or minus/999 seconds. The time interval required to update each measurement automatically varies from 8 seconds at the lowest counting rates to 2 seconds at higher counting rates. The paper will describe hardware and software design details and show the advantages of this type of Period Meter over the conventional circuits. 1 ref

  19. LSI microprocessor circuit families based on integrated injection logic. Mikroprotsessornyye komplekty bis na osnove integral'noy inzhektsionnoy logiki

    Energy Technology Data Exchange (ETDEWEB)

    Borisov, V.S.; Vlasov, F.S.; Kaloshkin, E.P.; Serzhanovich, D.S.; Sukhoparov, A.I.

    1984-01-01

    Progress in developing microprocessor computer hardware is based on progress and improvement in systems engineering, circuit engineering and manufacturing process methods of design and development of large-scale integrated circuits (BIS). Development of these methods with widespread use of computer-aided design (CAD) systems has allowed developing 4- and 8-bit microprocessor families (MPK) of LSI circuits based on integrated injection logic (I/sup 2/L), characterized by relatively high speed and low dissipated power. The emergence of LSI and VLSI microprocessor circuits required computer system developers to make changes to theory and practice of computer system design. Progress in technology upset the established relation between hardware and software component development costs in systems being designed. A characteristic feature of using LSI circuits is also the necessity of building devices from standard modules with large functional complexity. The existing directions of forming compositions of LSI microprocessor families allow the system developer to choose a particular methodology of design, proceeding from the efficiency function and field of application of the system being designed. The efficiency of using microprocessor families is largely governed by the user's understanding in depth of the structure of LSI microprocessor family circuits and the features of using them to implement a broad class of computer devices and modules being developed. This book is devoted to solving this problem.

  20. Recent applications of microprocessor-based instruments in nuclear power stations

    International Nuclear Information System (INIS)

    Cash, N.R.; Dennis, U.E.

    1988-01-01

    The incorporation of microprocessors in the design of nuclear power plant instrumentation has led to levels of measurement and control not available previously. In addition to the expected expansion of functional (system) capability, numerous desirable features now are possible. The added ability to both self-calibrate and perform compensation algorithms has led to dramatic improvements in accuracies, response times, and noise rejection. Automated performance checking and self-testing simplify troubleshooting and required periodic surveillance. Alphanumeric displays allow both menu-driven operation and user-prompting, which, in turn, contribute to mistake avoidance. New features of these microprocessor-based instruments are of specific benefit in nuclear power reactors, were safety is of prime concern. Greater reliability and accuracy can be provided. Shortened calibration, surveillance, and repair times reduce the exposure to unnecessary challenges of the plant's protection systems that can arise from spurious noise signals

  1. Fuzzy Concurrent Object Oriented Expert System for Fault Diagnosis in 8085 Microprocessor Based System Board

    OpenAIRE

    Mr.D. V. Kodavade; Dr. Mrs.S.D.Apte

    2014-01-01

    With the acceptance of artificial intelligence paradigm, a number of successful artificial intelligence systems were created. Fault diagnosis in microprocessor based boards needs lot of empirical knowledge and expertise and is a true artificial intelligence problem. Research on fault diagnosis in microprocessor based system boards using new fuzzy-object oriented approach is presented in this paper. There are many uncertain situations observed during fault diagnosis. These uncertain situations...

  2. Nonlinear feedback synchronisation control between fractional-order and integer-order chaotic systems

    International Nuclear Information System (INIS)

    Jia Li-Xin; Dai Hao; Hui Meng

    2010-01-01

    This paper focuses on the synchronisation between fractional-order and integer-order chaotic systems. Based on Lyapunov stability theory and numerical differentiation, a nonlinear feedback controller is obtained to achieve the synchronisation between fractional-order and integer-order chaotic systems. Numerical simulation results are presented to illustrate the effectiveness of this method

  3. Failure analysis on false call probe pins of microprocessor test equipment

    Science.gov (United States)

    Tang, L. W.; Ong, N. R.; Mohamad, I. S. B.; Alcain, J. B.; Retnasamy, V.

    2017-09-01

    A study has been conducted to investigate failure analysis on probe pins of test modules for microprocessor. The `health condition' of the probe pin is determined by the resistance value. A test module of 5V power supplied from Arduino UNO with "Four-wire Ohm measurement" method is implemented in this study to measure the resistance of the probe pins of a microprocessor. The probe pins from a scrapped computer motherboard is used as the test sample in this study. The functionality of the test module was validated with the pre-measurement experiment via VEE Pro software. Lastly, the experimental work have demonstrated that the implemented test module have the capability to identify the probe pin's `health condition' based on the measured resistance value.

  4. Use of a microprocessor in a remote working level monitor

    International Nuclear Information System (INIS)

    Keffe, D.J.; McDowell, W.P.; Groer, P.G.

    1975-01-01

    A remote working level monitor was designed to measure short-lived radon-daughter concentrations in sealed chambers having potentially high radiation levels (up to 2000 WL). The system is comprised of surface barrier detectors, multiplexer and buffers, microprocessor and teletype

  5. Linear Independence of -Logarithms over the Eisenstein Integers

    Directory of Open Access Journals (Sweden)

    Peter Bundschuh

    2010-01-01

    Full Text Available For fixed complex with ||>1, the -logarithm is the meromorphic continuation of the series ∑>0/(−1,||1,≠,2,3,…. In 2004, Tachiya showed that this is true in the Subcase =ℚ, ∈ℤ, =−1, and the present authors extended this result to arbitrary integer from an imaginary quadratic number field , and provided a quantitative version. In this paper, the earlier method, in particular its arithmetical part, is further developed to answer the above question in the affirmative if is the Eisenstein number field √ℚ(−3, an integer from , and a primitive third root of unity. Under these conditions, the linear independence holds also for 1,(,(−1, and both results are quantitative.

  6. A quadratic approximation-based algorithm for the solution of multiparametric mixed-integer nonlinear programming problems

    KAUST Repository

    Domínguez, Luis F.

    2012-06-25

    An algorithm for the solution of convex multiparametric mixed-integer nonlinear programming problems arising in process engineering problems under uncertainty is introduced. The proposed algorithm iterates between a multiparametric nonlinear programming subproblem and a mixed-integer nonlinear programming subproblem to provide a series of parametric upper and lower bounds. The primal subproblem is formulated by fixing the integer variables and solved through a series of multiparametric quadratic programming (mp-QP) problems based on quadratic approximations of the objective function, while the deterministic master subproblem is formulated so as to provide feasible integer solutions for the next primal subproblem. To reduce the computational effort when infeasibilities are encountered at the vertices of the critical regions (CRs) generated by the primal subproblem, a simplicial approximation approach is used to obtain CRs that are feasible at each of their vertices. The algorithm terminates when there does not exist an integer solution that is better than the one previously used by the primal problem. Through a series of examples, the proposed algorithm is compared with a multiparametric mixed-integer outer approximation (mp-MIOA) algorithm to demonstrate its computational advantages. © 2012 American Institute of Chemical Engineers (AIChE).

  7. Application of a non-integer Bessel uniform approximation to inelastic molecular collisions

    International Nuclear Information System (INIS)

    Connor, J.N.L.; Mayne, H.R.

    1979-01-01

    A non-integer Bessel uniform approximation has been used to calculate transition probabilities for collinear atom-oscillator collisions. The collision systems used are a harmonic oscillator interacting via a Lennard-Jones potential and a Morse oscillator interacting via an exponential potential. Both classically allowed and classically forbidden transitions have been treated. The order of the Bessel function is chosen by a physical argument that makes use of information contained in the final-action initial-angle plot. Limitations of this procedure are discussed. It is shown that the non-integer Bessel approximation is accurate for elastic 0 → 0 collisions at high collision energies, where the integer Bessel approximation is inaccurate or inapplicable. (author)

  8. Hierarchical Hidden Markov Models for Multivariate Integer-Valued Time-Series

    DEFF Research Database (Denmark)

    Catania, Leopoldo; Di Mari, Roberto

    2018-01-01

    We propose a new flexible dynamic model for multivariate nonnegative integer-valued time-series. Observations are assumed to depend on the realization of two additional unobserved integer-valued stochastic variables which control for the time-and cross-dependence of the data. An Expectation......-Maximization algorithm for maximum likelihood estimation of the model's parameters is derived. We provide conditional and unconditional (cross)-moments implied by the model, as well as the limiting distribution of the series. A Monte Carlo experiment investigates the finite sample properties of our estimation...

  9. Integer factoring and modular square roots

    Czech Academy of Sciences Publication Activity Database

    Jeřábek, Emil

    2016-01-01

    Roč. 82, č. 2 (2016), s. 380-394 ISSN 0022-0000 R&D Projects: GA AV ČR IAA100190902; GA ČR GBP202/12/G061 Institutional support: RVO:67985840 Keywords : integer factoring * quadratic residue * PPA Subject RIV: BA - General Mathematics Impact factor: 1.678, year: 2016 http://www.sciencedirect.com/science/article/pii/S0022000015000768

  10. Environmental qualification and functional issues for microprocessor-based reactor protection systems

    International Nuclear Information System (INIS)

    Korsah, K.; Kisner, R.; Wood, R.T.; Antonescu, C.

    1992-01-01

    Issues of obsolescence and lack of intrastructural support in (analog) spare parts, coupled with the potential benefits of digital systems, are driving the nuclear industry to retrofit analog instrumentation and control (I ampersand C) systems with digital and microprocessor-based systems. This movement away from analog can be expected to increase in advanced light-water reactors (ALWRs), which will make extensive use of fiber optic transmission, multiplexing techniques, and microprocessor-based technology. Although these technologies have several advantages and, in fact, have been in widespread use in the non-nuclear industry for several years, their application to safety-related systems in nuclear power plants raises key issues relating to the systems' environmental and functional reliability. For example, does the new hardware introduce additional system aging degradation mechanisms that could adversely impact the safety of the plant? Do the systems introduce the possibility of new and different malfunction scenarios or increase the probability of common-mode failures that could reduce the reliability of the safety system?. Are current environmental qualification standards adequate for microprocessor-based I ampersand C systems? Accordingly in 1991 the Nuclear Regulatory Commission (NRC) initiated the qualification of advanced Instrumentation and Control Systems program at ORNL to investigate issues that may arise with the use of advanced digital I ampersand C in ALWRs. The results of our studies to date are summarized in this paper

  11. Microprocessor based data acquisition system for Moessbauer spectrometer

    International Nuclear Information System (INIS)

    Patwardhan, P.K.; Indurkar, V.S.

    1981-01-01

    A data acquisition system, for Moessbauer spectrometer and other probability distribution spectrum is described. This utilizes the advantages of incorporating a microcomputer for providing a flexible analytical capability and speed of hard wired MCS unit updating channel contents in DMA. Holbourn, Player and Woodhams have recently described a microprocessor controlled Moessbauer spectrometer where microprocessor performs the task of updating channel contents, requiring about 60 micro seconds in interrupt mode. This imposes restrictions on increasing the channel number and on increasing the velocity scan frequency in order to cover higher velocity ranges. The system described in this article performs data acquisition in faster direct memory access. It is a two module system, (1) MCS module (2) Microcomputer module, arranged around a common address, data and control buses. The microcomputer module has an access to the system data during flyback periods and can be programmed for the task of monitor on progess of experiment and as a manipulator of various control operations needed during experiment. The system firmware includes: (1) MONITOR (2) BLOCK-TRANSFER (3) DATA-SMOOTHING (4) DECIMAL-CONVERTER (5) MATH. The scope of this firmware is briefly described. (author)

  12. Obstacles and Affordances for Integer Reasoning: An Analysis of Children's Thinking and the History of Mathematics

    Science.gov (United States)

    Bishop, Jessica Pierson; Lamb, Lisa L.; Philipp, Randolph A.; Whitacre, Ian; Schappelle, Bonnie P.; Lewis, Melinda L.

    2014-01-01

    We identify and document 3 cognitive obstacles, 3 cognitive affordances, and 1 type of integer understanding that can function as either an obstacle or affordance for learners while they extend their numeric domains from whole numbers to include negative integers. In particular, we highlight 2 key subsets of integer reasoning: understanding or…

  13. A quantum architecture for multiplying signed integers

    International Nuclear Information System (INIS)

    Alvarez-Sanchez, J J; Alvarez-Bravo, J V; Nieto, L M

    2008-01-01

    A new quantum architecture for multiplying signed integers is presented based on Booth's algorithm, which is well known in classical computation. It is shown how a quantum binary chain might be encoded by its flank changes, giving the final product in 2's-complement representation.

  14. Distributed microprocessor automation network for synthesizing radiotracers used in positron emission tomography

    International Nuclear Information System (INIS)

    Russell, J.A.G.; Alexoff, D.L.; Wolf, A.P.

    1984-01-01

    This presentation describes an evolving distributed microprocessor network for automating the routine production synthesis of radiotracers used in Positron Emission Tomography. We first present a brief overview of the PET method for measuring biological function, and then outline the general procedure for producing a radiotracer. The paper identifies several reasons for our automating the syntheses of these compounds. There is a description of the distributed microprocessor network architecture chosen and the rationale for that choice. Finally, we speculate about how this network may be exploited to extend the power of the PET method from the large university or National Laboratory to the biomedical research and clinical community at large. 20 refs. (DT)

  15. Sub-50 nm gate length SOI transistor development for high performance microprocessors

    International Nuclear Information System (INIS)

    Horstmann, M.; Greenlaw, D.; Feudel, Th.; Wei, A.; Frohberg, K.; Burbach, G.; Gerhardt, M.; Lenski, M.; Stephan, R.; Wieczorek, K.; Schaller, M.; Hohage, J.; Ruelke, H.; Klais, J.; Huebler, P.; Luning, S.; Bentum, R. van; Grasshoff, G.; Schwan, C.; Cheek, J.; Buller, J.; Krishnan, S.; Raab, M.; Kepler, N.

    2004-01-01

    Partial depleted (PD) SOI technologies have reached maturity for production of high speed, low power microprocessors. The paper will highlight several challenges found during the course of development for bringing 40 nm gate length (L GATE ) PD SOI transistors into volume manufacturing for high-speed microprocessors. The key innovations developed for this transistor in order to overcome classical gate oxide and L GATE scaling is an unique differential triple spacer structure, stressed overlayer films inducing strain in the Silicon channel and optimized junctions. This transistor structure yields an outstanding ring oscillator speed with an unloaded inverter delay of 5.5 ps. The found improvements are highly manufacturable and scaleable for future device technologies like FD SOI

  16. A measurement of cosmic-ray LET-spectra using a microprocessor supported microscope

    International Nuclear Information System (INIS)

    Beer, J.; Heinrich, W.

    1982-01-01

    A microprocessor supported semi-automatic system for measurements of nuclear tracks in plastic detectors is presented. It consists of a microscope and a stepping motor driven stage. A Motorola microprocessor MC 6800 controls the measurement. It accepts the co-ordinates of the stage as well as the position of the focus and computes cone length and dip angle from the three-dimensional co-ordinates. LET-spectra were measured from two cellulose nitrate foils of the Biostack III experiment flown with the Apollo-Soyus-Test-Project in 1975. One of these foils was shielded by 3 g/cm 2 and the other one by 15 g/cm 2 . The two spectra show no statistically significant decrease of intensity. (author)

  17. THE PHENOMENON OF HALF-INTEGER SPIN, QUATERNIONS, AND PAULI MATRICES

    Directory of Open Access Journals (Sweden)

    FERNANDO R. GONZÁLEZ DÍAZ

    2017-01-01

    Full Text Available In this paper the phenomenon of half-integer spin exemplification Paul AM Dirac made with a pair of scissors, an elastic cord and chair play. Four examples in which the same phenomenon appears and the algebraic structure of quaternions is related to one of the examples are described. Mathematical proof of the phenomenon using known topological and algebraic results are explained. The basic results of algebraic structures are described quaternions H , and an intrinsic relationship with the phenomenon half-integer spin and the Pauli matrices is established.

  18. 15 CFR 744.17 - Restrictions on certain exports and reexports of general purpose microprocessors for “military...

    Science.gov (United States)

    2010-01-01

    ... reexports of general purpose microprocessors for âmilitary end-usesâ and to âmilitary end-users.â 744.17...: END-USER AND END-USE BASED § 744.17 Restrictions on certain exports and reexports of general purpose microprocessors for “military end-uses” and to “military end-users.” (a) General prohibition. In addition to the...

  19. Technology transfer of military space microprocessor developments

    Science.gov (United States)

    Gorden, C.; King, D.; Byington, L.; Lanza, D.

    1999-01-01

    Over the past 13 years the Air Force Research Laboratory (AFRL) has led the development of microprocessors and computers for USAF space and strategic missile applications. As a result of these Air Force development programs, advanced computer technology is available for use by civil and commercial space customers as well. The Generic VHSIC Spaceborne Computer (GVSC) program began in 1985 at AFRL to fulfill a deficiency in the availability of space-qualified data and control processors. GVSC developed a radiation hardened multi-chip version of the 16-bit, Mil-Std 1750A microprocessor. The follow-on to GVSC, the Advanced Spaceborne Computer Module (ASCM) program, was initiated by AFRL to establish two industrial sources for complete, radiation-hardened 16-bit and 32-bit computers and microelectronic components. Development of the Control Processor Module (CPM), the first of two ASCM contract phases, concluded in 1994 with the availability of two sources for space-qualified, 16-bit Mil-Std-1750A computers, cards, multi-chip modules, and integrated circuits. The second phase of the program, the Advanced Technology Insertion Module (ATIM), was completed in December 1997. ATIM developed two single board computers based on 32-bit reduced instruction set computer (RISC) processors. GVSC, CPM, and ATIM technologies are flying or baselined into the majority of today's DoD, NASA, and commercial satellite systems.

  20. Mixed integer (0-1) fractional programming for decision support in paper production industry

    NARCIS (Netherlands)

    Claassen, G.D.H.

    2014-01-01

    This paper presents an effective and efficient method for solving a special class of mixed integer fractional programming (FP) problems. We take a classical reformulation approach for continuous FP as a starting point and extend it for solving a more general class of mixed integer (0–1) fractional

  1. High speed serial link for UA1 microprocessor network

    International Nuclear Information System (INIS)

    Cittolin, S.; Loefstedt, B.; Zurfluh, E.

    1981-01-01

    The UA1 data acquisition system consists of a set of distributed microprocessor units. An interprocessor link, independent of the CAMAC data readout, has been developed in order to have continuous remote control and run-time data handling, e.g. transmission of calibration programs/parameters, equipment rest/status and histogram accumulation. The data transmission system is designed to be used in a loop configuration equipped with transceivers for twisted pair cables (RS-422). As an economical system it is running as an ancillary serial loop-link between microprocessors Like Data Acquisition Crate Controllers and systems with distributed intelligence. The software driver consists of a loop-controller package, which may run in a BAMBI Computer Language environment and a fully interrupt controlled program for all other secondary stations. A special single-character mode provides a handy link for remote debugging in a pseudo-full-duplex mode. The format is based on the HDLC protocol without sequence numbering. The Chip MC-6854 from Motorola, Inc. enables an implementation with few components. (orig.)

  2. An Integer Programming Model for Multi-Echelon Supply Chain Decision Problem Considering Inventories

    Science.gov (United States)

    Harahap, Amin; Mawengkang, Herman; Siswadi; Effendi, Syahril

    2018-01-01

    In this paper we address a problem that is of significance to the industry, namely the optimal decision of a multi-echelon supply chain and the associated inventory systems. By using the guaranteed service approach to model the multi-echelon inventory system, we develop a mixed integer; programming model to simultaneously optimize the transportation, inventory and network structure of a multi-echelon supply chain. To solve the model we develop a direct search approach using a strategy of releasing nonbasic variables from their bounds, combined with the “active constraint” method. This strategy is used to force the appropriate non-integer basic variables to move to their neighbourhood integer points.

  3. An Efficient Integer Coding and Computing Method for Multiscale Time Segment

    Directory of Open Access Journals (Sweden)

    TONG Xiaochong

    2016-12-01

    Full Text Available This article focus on the exist problem and status of current time segment coding, proposed a new set of approach about time segment coding: multi-scale time segment integer coding (MTSIC. This approach utilized the tree structure and the sort by size formed among integer, it reflected the relationship among the multi-scale time segments: order, include/contained, intersection, etc., and finally achieved an unity integer coding processing for multi-scale time. On this foundation, this research also studied the computing method for calculating the time relationships of MTSIC, to support an efficient calculation and query based on the time segment, and preliminary discussed the application method and prospect of MTSIC. The test indicated that, the implement of MTSIC is convenient and reliable, and the transformation between it and the traditional method is convenient, it has the very high efficiency in query and calculating.

  4. Microprocessor architectures RISC, CISC and DSP

    CERN Document Server

    Heath, Steve

    1995-01-01

    'Why are there all these different processor architectures and what do they all mean? Which processor will I use? How should I choose it?' Given the task of selecting an architecture or design approach, both engineers and managers require a knowledge of the whole system and an explanation of the design tradeoffs and their effects. This is information that rarely appears in data sheets or user manuals. This book fills that knowledge gap.Section 1 provides a primer and history of the three basic microprocessor architectures. Section 2 describes the ways in which the architectures react with the

  5. STUDI PERBANDINGAN PERFORMANCE ALGORITMA HEURISTIK POUR TERHADAP MIXED INTEGER PROGRAMMING DALAM MENYELESAIKAN PENJADWALAN FLOWSHOP

    Directory of Open Access Journals (Sweden)

    Tessa Vanina Soetanto

    2004-01-01

    Full Text Available This paper presents a study about new heuristic algorithm performance compared to Mixed Integer Programming (MIP method in solving flowshop scheduling problem to reach minimum makespan. Performance appraisal is based on Efficiency Index (EI, Relative Error (RE and Elapsed Runtime. Abstract in Bahasa Indonesia : Makalah ini menyajikan penelitian tentang performance algoritma heuristik Pour terhadap metode Mixed Integer Programming (MIP dalam menyelesaikan masalah penjadwalan flowshop dengan tujuan meminimalkan makespan. Penilaian performance dilakukan berdasarkan nilai Efficiency Index (EI, Relative Error (RE dan Elapsed Runtime. Kata kunci: flowshop, makespan, algoritma heuristik Pour, Mixed Integer Programming.

  6. Bivium as a Mixed Integer Programming Problem

    DEFF Research Database (Denmark)

    Borghoff, Julia; Knudsen, Lars Ramkilde; Stolpe, Mathias

    2009-01-01

    over $GF(2)$ into a combinatorial optimization problem. We convert the Boolean equation system into an equation system over $\\mathbb{R}$ and formulate the problem of finding a $0$-$1$-valued solution for the system as a mixed-integer programming problem. This enables us to make use of several...

  7. Distributed Microprocessor Automation Network for Synthesizing Radiotracers Used in Positron Emission Tomography [PET

    Science.gov (United States)

    Russell, J. A. G.; Alexoff, D. L.; Wolf, A. P.

    1984-09-01

    This presentation describes an evolving distributed microprocessor network for automating the routine production synthesis of radiotracers used in Positron Emission Tomography. We first present a brief overview of the PET method for measuring biological function, and then outline the general procedure for producing a radiotracer. The paper identifies several reasons for our automating the syntheses of these compounds. There is a description of the distributed microprocessor network architecture chosen and the rationale for that choice. Finally, we speculate about how this network may be exploited to extend the power of the PET method from the large university or National Laboratory to the biomedical research and clinical community at large. (DT)

  8. Tests of microprocessor-based relay protection devices: Problems and solutions

    Directory of Open Access Journals (Sweden)

    Gurevich Vladimir

    2009-01-01

    Full Text Available Usually, the operational condition of relay protection devices is checked with specific settings used for the relay operation in a certain network point. In the author's opinion in order to verify the proper operation of complex multifunctional microprocessor-based protection devices (MPD at their inspection, start-up after repairs or during periodic tests there is no need to use the actual settings at which the relay is to be operated in a certain network's point. It should be tested for proper operation at several of its most critical preset characteristic points as well as in several preset characteristics constituting its most complicated (combined operation modes, including the dynamic operation modes with preset transition processes specific for standard power networks (not necessarily for a specific point. The proposed set of actions for the unification of software platforms of the modern, microprocessor-based relay protection test systems will enable examination of modern MPD in an absolutely new way. .

  9. Microprocessor system for data acquisition and processing for the Flora device

    International Nuclear Information System (INIS)

    Klimov, V.M.

    1986-01-01

    ''VEhFORMIKA'' microprocessor system for data collection and processing when conducting experiments at the ''Flora'' device is described, its application is grounded. The complex allows one to conduct investigations using multichannel methods and exercise the device electrophysical control

  10. Winding numbers in homotopy theory from integers to reals

    International Nuclear Information System (INIS)

    Mekhfi, M.

    1993-07-01

    In Homotopy Theory (HT) we define paths on a given topological space. Closed paths prove to be construction elements of a group (the fundamental group) Π 1 and carry charges, the winding numbers. The charges are integers as they indicate how many times closed paths encircle a given hole (or set of holes). Open paths as they are defined in (HT) do not possess any groups structure and as such they are less useful in topology. In the present paper we enlarge the concept of a path in such a way that both types of paths do possess a group structure. In this broad sense we have two fundamental groups the Π i = Z group and the SO(2) group of rotations but the latter has the global property that there is no periodicity in the rotation angle. There is also two charge operators W and W λ whose eigenvalues are either integers or reals depending respectively on the paths being closed or open. Also the SO(2) group and the real charge operator W λ are not independently defined but directly related respectively to the Π i group and to the integer charge operator W. Thus well defined links can be established between seemingly different groups and charges. (author). 3 refs, 1 fig

  11. Metamorphic Testing Integer Overflow Faults of Mission Critical Program: A Case Study

    Directory of Open Access Journals (Sweden)

    Zhanwei Hui

    2013-01-01

    Full Text Available For mission critical programs, integer overflow is one of the most dangerous faults. Different testing methods provide several effective ways to detect the defect. However, it is hard to validate the testing outputs, because the oracle of testing is not always available or too expensive to get, unless the program throws an exception obviously. In the present study, the authors conduct a case study, where the authors apply a metamorphic testing (MT method to detect the integer overflow defect and alleviate the oracle problem in testing critical program of Traffic Collision Avoidance System (TCAS. Experimental results show that, in revealing typical integer mutations, compared with traditional safety property testing method, MT with a novel symbolic metamorphic relation is more effective than the traditional method in some cases.

  12. Integers in number systems with positive and negative quadratic Pisot base

    OpenAIRE

    Masáková, Zuzana; Vávra, Tomáš

    2013-01-01

    We consider numeration systems with base $\\beta$ and $-\\beta$, for quadratic Pisot numbers $\\beta$ and focus on comparing the combinatorial structure of the sets $\\Z_\\beta$ and $\\Z_{-\\beta}$ of numbers with integer expansion in base $\\beta$, resp. $-\\beta$. Our main result is the comparison of languages of infinite words $u_\\beta$ and $u_{-\\beta}$ coding the ordering of distances between consecutive $\\beta$- and $(-\\beta)$-integers. It turns out that for a class of roots $\\beta$ of $x^2-mx-m$...

  13. Optimization of Product Instantiation using Integer Programming

    NARCIS (Netherlands)

    van den Broek, P.M.; Botterweck, Goetz; Jarzabek, Stan; Kishi, Tomoji

    2010-01-01

    We show that Integer Programming (IP) can be used as an optimization technique for the instantiation of products of feature models. This is done by showing that the constraints of feature models can be written in linear form. As particular IP technique, we use Gomory cutting planes. We have applied

  14. The application of the fall-vector method in decomposition schemes for the solution of integer linear programming problems

    International Nuclear Information System (INIS)

    Sergienko, I.V.; Golodnikov, A.N.

    1984-01-01

    This article applies the methods of decompositions, which are used to solve continuous linear problems, to integer and partially integer problems. The fall-vector method is used to solve the obtained coordinate problems. An algorithm of the fall-vector is described. The Kornai-Liptak decomposition principle is used to reduce the integer linear programming problem to integer linear programming problems of a smaller dimension and to a discrete coordinate problem with simple constraints

  15. A fuzzy mixed integer programming for marketing planning

    Directory of Open Access Journals (Sweden)

    Abolfazl Danaei

    2014-03-01

    Full Text Available One of the primary concerns to market a product is to find appropriate channel to target customers. The recent advances on information technology have created new products with tremendous opportunities. This paper presents a mixed integer programming technique based on McCarthy's 4PS to locate suitable billboards for marketing newly introduced IPHONE product. The paper considers two types of information including age and income and tries to find the best places such that potential consumers aged 25-35 with high income visit the billboards and the cost of advertisement is minimized. The model is formulated in terms of mixed integer programming and it has been applied for potential customers who live in city of Tabriz, Iran. Using a typical software package, the model detects appropriate places in various parts of the city.

  16. Fabry-Perot Interferometry in the Integer and Fractional Quantum Hall Regimes

    Science.gov (United States)

    McClure, Douglas; Chang, Willy; Kou, Angela; Marcus, Charles; Pfeiffer, Loren; West, Ken

    2011-03-01

    We present measurements of electronic Fabry-Perot interferometers in the integer and fractional quantum Hall regimes. Two classes of resistance oscillations may be seen as a function of magnetic field and gate voltage, as we have previously reported. In small interferometers in the integer regime, oscillations of the type associated with Coulomb interaction are ubiquitous, while those consistent with single-particle Aharonov-Bohm interference are seen to co-exist in some configurations. The amplitude scaling of both types with temperature and device size is consistent with a theoretical model. Oscillations are further observed in the fractional quantum Hall regime. Here the dependence of the period on the filling factors in the constrictions and bulk of the interferometer can shed light on the effective charge of the interfering quasiparticles, but care is needed to distinguish these oscillations from those associated with integer quantum Hall states. We acknowledge funding from Microsoft Project Q and IBM.

  17. Stability of nano-fluids and their use for thermal management of a microprocessor: an experimental and numerical study

    Science.gov (United States)

    Shoukat, Ahmad Adnan; Shaban, Muhammad; Israr, Asif; Shah, Owaisur Rahman; Khan, Muhammad Zubair; Anwar, Muhammad

    2018-03-01

    We investigate the heat transfer effect of different types of Nano-fluids on the pin fin heat sinks used in computer's microprocessor. Nano-particles of Aluminum oxide have been used with volumetric concentrations of 0.002% and Silver oxide with volumetric concentrations of 0.001% in the base fluid of deionized water. We have also used Aluminum oxide with ethylene glycol at volumetric concentrations of 0.002%. We report the cooling rates of Nano-fluids for pin-fin heat to cool the microprocessor and compare these with the cooling rate of pure water. We use a microprocessor heat generator in this investigation. The base temperature is obtained using surface heater of power 130 W. The main purpose of this work is to minimize the base temperature, and increase the heat transfer rate of the water block and radiator. The temperature of the heat sink is maintained at 110 °C which is nearly equal to the observed computer microprocessor temperature. We also provide the base temperature at different Reynolds's number using the above mention Nano-fluids with different volumetric concentrations.

  18. CAMAC multipurpose microprocessor controller

    International Nuclear Information System (INIS)

    Belyakova, M.P.; Nemesh, T.; Buj Zoan Chong.

    1978-01-01

    The use of CAMAC controllers in an autonomous system of data acquisition and measurement is considered. The system consists of a control intelligence controller, memory modules, and user modules in the CAMAC standard. The controller and all the modules have an output into the highway and this permits to exchange data among them without using special external cables. To increase the servicing rate, an auxiliary controller which has direct access to memory and controls the user modules, is additionally connected to the data acquisition and measurement system. In this case, the intelligence controller is passive. The system of data acquisition can be realized in the form of a multiple system with branch usage. The controller module width is three units, and the controller incorporates the Intel-8080-type microprocessor and the following interfaces: of CAMAC highways, of interruption, of memory bootstrap, and of data sequence channel

  19. Small Private Key PKS on an Embedded Microprocessor

    OpenAIRE

    Seo, Hwajeong; Kim, Jihyun; Choi, Jongseok; Park, Taehwan; Liu, Zhe; Kim, Howon

    2014-01-01

    Multivariate quadratic (MQ) cryptography requires the use of long public and private keys to ensure a sufficient security level, but this is not favorable to embedded systems, which have limited system resources. Recently, various approaches to MQ cryptography using reduced public keys have been studied. As a result of this, at CHES2011 (Cryptographic Hardware and Embedded Systems, 2011), a small public key MQ scheme, was proposed, and its feasible implementation on an embedded microprocessor...

  20. Network Model for The Problem of Integer Balancing of a Fourdimensional Matrix

    Directory of Open Access Journals (Sweden)

    A. V. Smirnov

    2016-01-01

    Full Text Available The problem of integer balancing of a four-dimensional matrix is studied. The elements of the inner part (all four indices are greater than zero of the given real matrix are summed in each direction and each two- and three-dimensional section of the matrix; the total sum is also found. These sums are placed into the elements where one or more indices are equal to zero (according to the summing directions. The problem is to find an integer matrix of the same structure, which can be produced from the initial one by replacing the elements with the largest previous or the smallest following integer. At the same time, the element with four zero indices should be produced with standard rules of rounding - off. In the article the problem of finding the maximum multiple flow in the network of any natural multiplicity   is also studied. There are arcs of three types: ordinary arcs, multiple arcs and multi-arcs. Each multiple and multi-arc is a union of   linked arcs, which are adjusted with each other. The network constructing rules are described. The definitions of a divisible network and some associated subjects are stated. There are defined the basic principles for reducing the integer balancing problem of an  -dimensional matrix (  to the problem of finding the maximum flow in a divisible multiple network of multiplicity  . There are stated the rules for reducing the four-dimensional balancing problem to the maximum flow problem in the network of multiplicity 5. The algorithm of finding the maximum flow, which meets the solvability conditions for the integer balancing problem, is formulated for such a network.

  1. 50 Years of Integer Programming 1958-2008 From the Early Years to the State-of-the-Art

    CERN Document Server

    Jünger, Michael; Naddef, Denis

    2010-01-01

    In 1958, Ralph E. Gomory transformed the field of integer programming when he published a paper that described a cutting-plane algorithm for pure integer programs and announced that the method could be refined to give a finite algorithm for integer programming. In 2008, to commemorate the anniversary of this seminal paper, a special workshop celebrating fifty years of integer programming was held in Aussois, France, as part of the 12th Combinatorial Optimization Workshop. It contains reprints of key historical articles and written versions of survey lectures on six of the hottest topics in the

  2. Sectional microprocessor based microcomputer and its application to express analysis using interactive language

    International Nuclear Information System (INIS)

    Lang, I.; Leveleki, L.; Salai, M.; Turani, D.

    1984-01-01

    Sectional microprocessor TPA-L/128H based mini-computer being a part of the TPA-8 computer family is developed. A substantial increase of the computer operation rate is attained at the expense of microprogram monitoring. The central processor is constructed on the base of the AM2900 sectional microprocessor elements. The TPA-L/128H computer is program compatible with TPA-8 computer, perfectly equipped with software: high level languages as well as OS/L, COS/H, RTS/H, PAL/128, WPS, TEASYS-8 and IL 128 ensuring statistical data processing, physical experiments automation and interactive experimental data processing. The real time basis problems and CAMAC devices monitoring are efficiently solved

  3. Design of microprocessor data acquisition system for pedestrian portal SNM monitor

    International Nuclear Information System (INIS)

    Zhang Wenliang

    2003-01-01

    The paper introduces the hardware structure and composition of data acquisition system for pedestrian portal special nuclear material (SNM) monitor. The hardware and software of single chip microprocessor AT89C52, LCM, keyboard and serial communication interface software are also discussed. (authors)

  4. A microprocessor controlled read out system for drift chambers

    CERN Document Server

    Centro, Sandro; Cittolin, Sergio; Dreesen, P; Petrolo, E; Rubbia, Carlo; Schinzel, D

    1981-01-01

    Summary form only given, as follows. A General Purpose Microprocessor Controller GPMC has been developed for applications where CAMAC modules with complex control functions are needed. Each application requires an appropriate Interface Module (IM) to be connected to the GPMC. The GPMC consists of a 6800 Microprocessor, 16K EPROM, 2K RAM, CAMAC I/O ports and interface, a RS 232C serial interface, an Advanced Data Link controller and a port for controlling the IM, GPMC and IM are housed in a 2-U wide CAMAC module. A special IM has been designed, which has 1K bute of RAM with its own control and which allows autonomous setting and reading analog voltages through a DAC and ADC. The GPMC can take control of the IM memory and set new voltages. This system is used to control pedestals and gains of a driftchamber readout system, which is housed in a 5-U wide CAMAC module, holding 24 data cards corresponding to 24 sense wires. The data card receives pulses from the left and right end of a sense wire, amplifies and int...

  5. Application of microprocessor based controller in the Breeder Reactor Program

    International Nuclear Information System (INIS)

    Messick, N.C.; Lukas, M.P.

    1985-01-01

    This paper treats Argonne National Laboratory's experience using microprocessor based controllers presently in use on several control loops within the EBR-II reactor facility as well as tests being performed by these controllers. Also included is a discussion of the expandability, modularity, range of capabilities and higher level functions possible using such equipment

  6. Triangular Numbers, Gaussian Integers, and KenKen

    Science.gov (United States)

    Watkins, John J.

    2012-01-01

    Latin squares form the basis for the recreational puzzles sudoku and KenKen. In this article we show how useful several ideas from number theory are in solving a KenKen puzzle. For example, the simple notion of triangular number is surprisingly effective. We also introduce a variation of KenKen that uses the Gaussian integers in order to…

  7. Front-end data processing using the bit-sliced microprocessor

    International Nuclear Information System (INIS)

    Machen, D.R.

    1979-01-01

    A state-of-the-art computing device, based upon the high-speed bit-sliced microprocessor, was developed into hardware for front-end data processing in both control and experiment applications at the Los Alamos Scientific Laboratory. The CAMAC Instrumentation Standard provides the framework for the high-speed hardware, allowing data acquisition and processing to take place at the data source in a CAMAC crate. 5 figures

  8. Overview of real-time operating systems on microprocessor platforms

    International Nuclear Information System (INIS)

    Luong, T.T.

    1994-01-01

    This paper attempts to overview the real-time operating systems on microprocessor platforms in the field of experimental physics facility controls. The key issues regarding operating systems as well as standards and development environment are discussed. As an illustration, some current industrial products are indicated. Also, real-time systems operating in some institutes of the EPS/EPCS inter divisional group are reviewed. (author). 3 refs., 4 figs

  9. Integer Quantum Magnon Hall Plateau-Plateau Transition in a Spin Ice Model

    OpenAIRE

    Xu, Baolong; Ohtsuki, Tomi; Shindou, Ryuichi

    2016-01-01

    Low-energy magnon bands in a two-dimensional spin ice model become integer quantum magnon Hall bands. By calculating the localization length and the two-terminal conductance of magnon transport, we show that the magnon bands with disorders undergo a quantum phase transition from an integer quantum magnon Hall regime to a conventional magnon localized regime. Finite size scaling analysis as well as a critical conductance distribution shows that the quantum critical point belongs to the same un...

  10. Quadratic Sieve integer factorization using Hadoop

    OpenAIRE

    Ghebregiorgish, Semere Tsehaye

    2012-01-01

    Master's thesis in Computer Science Integer factorization problem is one of the most important parts in the world of cryptography. The security of the widely-used public-key cryptographic algorithm, RSA [1], and the Blum Blum Shub cryptographic pseudorandom number generator [2] heavily depend on the presumed difficulty of factoring a number to its prime constituents. As the size of the number to be factored gets larger, the difficulty of the problem increases enormously. Thi...

  11. A microprocessor based multiscaling data acquisition system for moessbauer spectroscopy

    International Nuclear Information System (INIS)

    Bohm, C.; Ekdahl, T.

    1985-01-01

    A microprocessor based data acquisition system is described, which was developed for use in Moessbauer spectroscopy. It is designed to record two spectra simultaneously, one of which could be a calibration spectrum. It is autonomous, but uses a host computer for initialization and permanent storage of data. The host communication software is also described. (Author)

  12. Microprocessor Recruitment to Elongating RNA Polymerase II Is Required for Differential Expression of MicroRNAs

    Directory of Open Access Journals (Sweden)

    Victoria A. Church

    2017-09-01

    Full Text Available The cellular abundance of mature microRNAs (miRNAs is dictated by the efficiency of nuclear processing of primary miRNA transcripts (pri-miRNAs into pre-miRNA intermediates. The Microprocessor complex of Drosha and DGCR8 carries this out, but it has been unclear what controls Microprocessor’s differential processing of various pri-miRNAs. Here, we show that Drosophila DGCR8 (Pasha directly associates with the C-terminal domain of the RNA polymerase II elongation complex when it is phosphorylated by the Cdk9 kinase (pTEFb. When association is blocked by loss of Cdk9 activity, a global change in pri-miRNA processing is detected. Processing of pri-miRNAs with a UGU sequence motif in their apical junction domain increases, while processing of pri-miRNAs lacking this motif decreases. Therefore, phosphorylation of RNA polymerase II recruits Microprocessor for co-transcriptional processing of non-UGU pri-miRNAs that would otherwise be poorly processed. In contrast, UGU-positive pri-miRNAs are robustly processed by Microprocessor independent of RNA polymerase association.

  13. Design Example of Useful Memory Latency for Developing a Hazard Preventive Pipeline High-Performance Embedded-Microprocessor

    Directory of Open Access Journals (Sweden)

    Ching-Hwa Cheng

    2013-01-01

    Full Text Available The existence of structural, control, and data hazards presents a major challenge in designing an advanced pipeline/superscalar microprocessor. An efficient memory hierarchy cache-RAM-Disk design greatly enhances the microprocessor's performance. However, there are complex relationships among the memory hierarchy and the functional units in the microprocessor. Most past architectural design simulations focus on the instruction hazard detection/prevention scheme from the viewpoint of function units. This paper emphasizes that additional inboard memory can be well utilized to handle the hazardous conditions. When the instruction meets hazardous issues, the memory latency can be utilized to prevent performance degradation due to the hazard prevention mechanism. By using the proposed technique, a better architectural design can be rapidly validated by an FPGA at the start of the design stage. In this paper, the simulation results prove that our proposed methodology has a better performance and less power consumption compared to the conventional hazard prevention technique.

  14. RSM 1.0 - A RESUPPLY SCHEDULER USING INTEGER OPTIMIZATION

    Science.gov (United States)

    Viterna, L. A.

    1994-01-01

    RSM, Resupply Scheduling Modeler, is a fully menu-driven program that uses integer programming techniques to determine an optimum schedule for replacing components on or before the end of a fixed replacement period. Although written to analyze the electrical power system on the Space Station Freedom, RSM is quite general and can be used to model the resupply of almost any system subject to user-defined resource constraints. RSM is based on a specific form of the general linear programming problem in which all variables in the objective function and all variables in the constraints are integers. While more computationally intensive, integer programming was required for accuracy when modeling systems with small quantities of components. Input values for component life cane be real numbers, RSM converts them to integers by dividing the lifetime by the period duration, then reducing the result to the next lowest integer. For each component, there is a set of constraints that insure that it is replaced before its lifetime expires. RSM includes user-defined constraints such as transportation mass and volume limits, as well as component life, available repair crew time and assembly sequences. A weighting factor allows the program to minimize factors such as cost. The program then performs an iterative analysis, which is displayed during the processing. A message gives the first period in which resources are being exceeded on each iteration. If the scheduling problem is unfeasible, the final message will also indicate the first period in which resources were exceeded. RSM is written in APL2 for IBM PC series computers and compatibles. A stand-alone executable version of RSM is provided; however, this is a "packed" version of RSM which can only utilize the memory within the 640K DOS limit. This executable requires at least 640K of memory and DOS 3.1 or higher. Source code for an APL2/PC workspace version is also provided. This version of RSM can make full use of any

  15. Selecting Tools to Model Integer and Binomial Multiplication

    Science.gov (United States)

    Pratt, Sarah Smitherman; Eddy, Colleen M.

    2017-01-01

    Mathematics teachers frequently provide concrete manipulatives to students during instruction; however, the rationale for using certain manipulatives in conjunction with concepts may not be explored. This article focuses on area models that are currently used in classrooms to provide concrete examples of integer and binomial multiplication. The…

  16. Core barrier formation near integer q surfaces in DIII-D

    International Nuclear Information System (INIS)

    Austin, M. E.; Gentle, K. W.; Burrell, K. H.; Waltz, R. E.; Gohil, P.; Greenfield, C. M.; Groebner, R. J.; Petty, C. C.; Prater, R.; Heidbrink, W. W.; Luo, Y.; Kinsey, J. E.; Makowski, M. A.; McKee, G. R.; Shafer, M. W.; Nazikian, R.; Rhodes, T. L.; Van Zeeland, M. A.

    2006-01-01

    Recent DIII-D experiments have significantly improved the understanding of internal transport barriers (ITBs) that are triggered close to the time when an integer value of the minimum in q is crossed. While this phenomenon has been observed on many tokamaks, the extensive transport and fluctuation diagnostics on DIII-D have permitted a detailed study of the generation mechanisms of q-triggered ITBs as pertaining to turbulence suppression dynamics, shear flows, and energetic particle modes. In these discharges, the evolution of the q profile is measured using motional Stark effect polarimetry and the integer q min crossings are further pinpointed in time by the observation of Alfven cascades. High time resolution measurements of the ion and electron temperatures and the toroidal rotation show that the start of improved confinement is simultaneous in all three channels, and that this event precedes the traversal of integer q min by 5-20 ms. There is no significant low-frequency magnetohydrodynamic activity prior to or just after the crossing of the integer q min and hence magnetic reconnection is determined not to be the precipitant of the confinement change. Instead, results from the GYRO code point to the effects of zonal flows near low order rational q values as playing a role in ITB triggering. A reduction in local turbulent fluctuations is observed at the start of the temperature rise and, concurrently, an increase in turbulence poloidal flow velocity and flow shear is measured with the beam emission spectroscopy diagnostic. For the case of a transition to an enduring internal barrier the fluctuation level remains at a reduced amplitude. The timing and nature of the temperature, rotation, and fluctuation changes leading to internal barriers suggests transport improvement due to increased shear flow arising from the zonal flow structures

  17. A new VLSI complex integer multiplier which uses a quadratic-polynomial residue system with Fermat numbers

    Science.gov (United States)

    Shyu, H. C.; Reed, I. S.; Truong, T. K.; Hsu, I. S.; Chang, J. J.

    1987-01-01

    A quadratic-polynomial Fermat residue number system (QFNS) has been used to compute complex integer multiplications. The advantage of such a QFNS is that a complex integer multiplication requires only two integer multiplications. In this article, a new type Fermat number multiplier is developed which eliminates the initialization condition of the previous method. It is shown that the new complex multiplier can be implemented on a single VLSI chip. Such a chip is designed and fabricated in CMOS-Pw technology.

  18. Architecture of 32 bit CISC (Complex Instruction Set Computer) microprocessors

    International Nuclear Information System (INIS)

    Jove, T.M.; Ayguade, E.; Valero, M.

    1988-01-01

    In this paper we describe the main topics about the architecture of the best known 32-bit CISC microprocessors; i80386, MC68000 family, NS32000 series and Z80000. We focus on the high level languages support, operating system design facilities, memory management, techniques to speed up the overall performance and program debugging facilities. (Author)

  19. Digital Fractional Order Controllers Realized by PIC Microprocessor: Experimental Results

    OpenAIRE

    Petras, I.; Grega, S.; Dorcak, L.

    2003-01-01

    This paper deals with the fractional-order controllers and their possible hardware realization based on PIC microprocessor and numerical algorithm coded in PIC Basic. The mathematical description of the digital fractional -order controllers and approximation in the discrete domain are presented. An example of realization of the particular case of digital fractional-order PID controller is shown and described.

  20. Microprocessor controlled dual parameter ADC system with a CAMAC interface

    Energy Technology Data Exchange (ETDEWEB)

    Perry, D G; Nickell, Jr, J D [Los Alamos Scientific Lab., NM (USA)

    1978-09-01

    Presented here is the design of a dual parameter ADC system which is controlled by a microprocessor and also interfaced to CAMAC. The system was designed to be mobile in that it may work wherever there is a CAMAC crate. In such cases where the CAMAC system is inoperative, the system may operate in a stand-alone mode.

  1. Applications of microprocessors in upgrading of accelerator controls

    International Nuclear Information System (INIS)

    Mallory, K.B.

    1977-03-01

    Experience at SLAC demonstrates that the criteria for selection and use of microprocessors in modifying an existing control system may differ from the criteria that apply during installation of the control system of a new accelerator. Considerations such as cost of individual projects, progressive installation without disruption of operations and training of on-board personnel can outweigh ''obvious'' goals such as standardization of hardware, uniformity of software, or even a rigid specification of link protocols with the main computer system

  2. Pinning mode of integer quantum Hall Wigner crystal of skyrmions

    Science.gov (United States)

    Zhu, Han; Sambandamurthy, G.; Chen, Y. P.; Jiang, P.-H.; Engel, L. W.; Tsui, D. C.; Pfeiffer, L. N.; West, K. W.

    2009-03-01

    Just away from integer Landau level (LL) filling factors ν, the dilute quasi-particles/holes at the partially filled LL form an integer-quantum-Hall Wigner crystal, which exhibits microwave pinning mode resonances [1]. Due to electron-electron interaction, it was predicted that the elementary excitation around ν= 1 is not a single spin flip, but a larger-scale spin texture, known as a skyrmion [2]. We have compared the pinning mode resonances [1] of integer quantum Hall Wigner crystals formed in the partly filled LL just away from ν= 1 and ν= 2, in the presence of an in-plane magnetic field. As an in-plane field is applied, the peak frequencies of the resonances near ν= 1 increase, while the peak frequencies below ν= 2 show neligible dependence on in-plane field. We interpret this observation as due to a skyrmion crystal phase around ν= 1 and a single-hole Wigner crystal phase below ν= 2. The in-plane field increases the Zeeman gap and causes shrinking of the skyrmion size toward single spin flips. [1] Yong P. Chen et al., Phys. Rev. Lett. 91, 016801 (2003). [2] S. L. Sondhi et al., Phys. Rev. B 47, 16 419 (1993); L. Brey et al., Phys. Rev. Lett. 75, 2562 (1995).

  3. Allocating the Fixed Resources and Setting Targets in Integer Data Envelopment Analysis

    Directory of Open Access Journals (Sweden)

    Kobra Gholami

    2013-11-01

    Full Text Available Data envelopment analysis (DEA is a non-parametric approach to evaluate a set of decision making units (DMUs consuming multiple inputs to produce multiple outputs. Formally, DEA use to estimate the efficiency score into the empirical efficient frontier. Also, DEA can be used to allocate resources and set targets for future forecast. The data are continuous in the standard DEA model whereas there are many problems in the real life that data must be integer such as number of employee, machinery, expert and so on. Thus in this paper we propose an approach to allocate fixed resources and set fixed targets with selective integer assumption that is based on an integer data envelopment analysis (IDEA approach for the first time. The major aim in this approach is preserving the efficiency score of DMUs. We use the concept of benchmarking to reach this aim. The numerical example gets to illustrate the applicability of the proposed method.

  4. Note on Integer-Valued Bilinear Time Series Models

    NARCIS (Netherlands)

    Drost, F.C.; van den Akker, R.; Werker, B.J.M.

    2007-01-01

    Summary. This note reconsiders the nonnegative integer-valued bilinear processes introduced by Doukhan, Latour, and Oraichi (2006). Using a hidden Markov argument, we extend their result of the existence of a stationary solution for the INBL(1,0,1,1) process to the class of superdiagonal INBL(p; q;

  5. Computer Corner: Spreadsheets, Power Series, Generating Functions, and Integers.

    Science.gov (United States)

    Snow, Donald R.

    1989-01-01

    Implements a table algorithm on a spreadsheet program and obtains functions for several number sequences such as the Fibonacci and Catalan numbers. Considers other applications of the table algorithm to integers represented in various number bases. (YP)

  6. System architecture for microprocessor based protection system

    International Nuclear Information System (INIS)

    Gallagher, J.M. Jr.; Lilly, G.M.

    1976-01-01

    This paper discusses the architectural design features to be employed by Westinghouse in the application of distributed digital processing techniques to the protection system. While the title of the paper makes specific reference to microprocessors, this is only one (and the newest) of the building blocks which constitutes a distributed digital processing system. The actual system structure (as realized through utilization of the various building blocks) is established through considerations of reliability, licensability, and cost. It is the intent of the paper to address these considerations licenstions as they relate to the architectural design features. (orig.) [de

  7. Fast parallel DNA-based algorithms for molecular computation: quadratic congruence and factoring integers.

    Science.gov (United States)

    Chang, Weng-Long

    2012-03-01

    Assume that n is a positive integer. If there is an integer such that M (2) ≡ C (mod n), i.e., the congruence has a solution, then C is said to be a quadratic congruence (mod n). If the congruence does not have a solution, then C is said to be a quadratic noncongruence (mod n). The task of solving the problem is central to many important applications, the most obvious being cryptography. In this article, we describe a DNA-based algorithm for solving quadratic congruence and factoring integers. In additional to this novel contribution, we also show the utility of our encoding scheme, and of the algorithm's submodules. We demonstrate how a variety of arithmetic, shifted and comparative operations, namely bitwise and full addition, subtraction, left shifter and comparison perhaps are performed using strands of DNA.

  8. The use of microprocessors at TRIUMF in the control of radiation safety interlock systems

    International Nuclear Information System (INIS)

    King, L.

    1988-01-01

    At TRIUMF the cyclotron vault, all primary beam lines, and each experimental area has a dedicated control unit to manage the safety interlock control of the area lockup sequence, beam blocker drive and area access. Typically each area has 24 devices which are monitored to control 16 outputs. These control units (Area Safety Units) were first implemented through the use of relay logic. The relay logic was reliable but difficult to modify to incorporate changes to the areas. In 1979 it was decided to use microprocessors in the form of single board computers to control the Area Safety Units. The details of the hardware and software is discussed as well as the advantages of microprocessor control

  9. An integer ambiguity resolution method for the global positioning system (GPS)-based land vehicle attitude determination

    International Nuclear Information System (INIS)

    Wang, Bo; Miao, Lingjuan; Wang, Shunting; Shen, Jun

    2009-01-01

    During attitude determination using a global positioning system (GPS), cycle slips occur due to the loss of lock and noise disturbance. Therefore, the integer ambiguity needs re-computation to isolate the error in carrier phase. This paper presents a fast method for integer ambiguity resolution for land vehicle application. After the cycle slips are detected, the velocity vector is utilized to obtain the rough baseline vector. The obtained baseline vector is substituted into carrier phase observation equations to solve the float ambiguity solution which can be used as a constraint to accelerate the integer ambiguity search procedure at next epochs. The probability of correct integer estimation in the expanded search space is analyzed. Experimental results demonstrate that the proposed method gives a fast approach to obtain new fixed ambiguities while the regular method takes longer time and sometimes results in incorrect solutions

  10. Reliability of microprocessor-based relay protection devices: Myths and reality

    Directory of Open Access Journals (Sweden)

    Gurevich Vladimir

    2009-01-01

    Full Text Available The article examines four basic theses about the ostensibly extremely high reliability of microprocessor-based relay protection (MP touted by supporters of MP. Through detailed analysis based on many references it is shown that the basis of these theses are widespread myths, and actually MP reliability is lower than the reliability of electromechanical and electronic protective relays on discrete components.

  11. Unified microprocessor CAMAC module for preliminary data processing

    International Nuclear Information System (INIS)

    Zaushitsin, V.L.; Kulik, O.V.; Repin, V.M.

    1984-01-01

    The UP-80 unified active module is described. It is made in the CAMAC standard on the base of the K580IK80 microprocessor allowing to increase the rate of large-volume experimental spectroscopic data processing by an order. Loading of 5 different programs for data processing is possible. Data from the operative storage with 1K capacity (8 bits) are recorded and read out trhough the CAMAC line (the regime of unit exchange is possible) or through the joint of the external line

  12. Spatial “Artistic” Networks: From Deconstructing Integer-Functions to Visual Arts

    Directory of Open Access Journals (Sweden)

    Ernesto Estrada

    2018-01-01

    Full Text Available Deconstructivism is an aesthetically appealing architectonic style. Here, we identify some general characteristics of this style, such as decomposition of the whole into parts, superposition of layers, and conservation of the memory of the whole. Using these attributes, we propose a method to deconstruct functions based on integers. Using this integer-function deconstruction we generate spatial networks which display a few artistic attributes such as (i biomorphic shapes, (ii symmetry, and (iii beauty. In building these networks, the deconstructed integer-functions are used as the coordinates of the nodes in a unit square, which are then joined according to a given connection radius like in random geometric graphs (RGGs. Some graph-theoretic invariants of these networks are calculated and compared with the classical RGGs. We then show how these networks inspire an artist to create artistic compositions using mixed techniques on canvas and on paper. Finally, we call for avoiding that the applicability of (network sciences should not go in detriment of curiosity-driven, and aesthetic-driven, researches. We claim that the aesthetic of network research, and not only its applicability, would be an attractor for new minds to this field.

  13. FATCOP: A Fault Tolerant Condor-PVM Mixed Integer Program Solver

    National Research Council Canada - National Science Library

    Chen, Qun

    1999-01-01

    We describe FATCOP, a new parallel mixed integer program solver written in PVM. The implementation uses the Condor resource management system to provide a virtual machine composed of otherwise idle computers...

  14. Design description of a microprocessor based Engine Monitoring and Control unit (EMAC) for small turboshaft

    Science.gov (United States)

    Baez, A. N.

    1985-01-01

    Research programs have demonstrated that digital electronic controls are more suitable for advanced aircraft/rotorcraft turbine engine systems than hydromechanical controls. Commercially available microprocessors are believed to have the speed and computational capability required for implementing advanced digital control algorithms. Thus, it is desirable to demonstrate that off-the-shelf microprocessors are indeed capable of performing real time control of advanced gas turbine engines. The engine monitoring and control (EMAC) unit was designed and fabricated specifically to meet the requirements of an advanced gas turbine engine control system. The EMAC unit is fully operational in the Army/NASA small turboshaft engine digital research program.

  15. The use of distributed microprocessors for control devices

    International Nuclear Information System (INIS)

    Lejon, J.C.

    1978-01-01

    The use of distributed individual microprocessors provided the basis for the development of the μZ system, which is a modular numerical control device which in its main part contains no elements whatever with multiple functions. With this system, total availability of control is achieved and the failure of any individual element causes loss of automatic control only over one actuator or over a small group of interdependent actuators. The human operator, who cannot be omitted even with an inherently safe control system, can operate the single faulty channel manually. The microprocessors have a free-format with which all possible algorithms within the limits of the memory size of the various cards can be performed. This program can be loaded either in random access memory (RAM) or in read-only memory (ROM). The configuration is made either by assembling software modules in a hard-copy dialogue without any knowledge of data processing being necessary, or from a program written in Fortran. If the user does not have a configurator he can use read-only memories supplied by the manufacter either in the standard form or in a requested design. The parameters are loaded by means of a portable microconsole whose keyboard and displays can be used for a hard-copy dialogue with the regulating cards. Manual control and indications can be carried out from three completely independent configurations which can be used separately or in parallel: individual station, multiple-function station or cathode colour console. (author)

  16. A Branch and Bound Algorithm for a Class of Biobjective Mixed Integer Programs

    DEFF Research Database (Denmark)

    Stidsen, Thomas Riis; Andersen, Kim Allan; Dammann, Bernd

    2014-01-01

    there is the complicating factor that some of the variables are required to be integral. The resulting class of problems is named multiobjective mixed integer programming (MOMIP) problems. Solving these kinds of optimization problems exactly requires a method that can generate the whole set of nondominated points (the...... Pareto-optimal front). In this paper, we first give a survey of the newly developed branch and bound methods for solving MOMIP problems. After that, we propose a new branch and bound method for solving a subclass of MOMIP problems, where only two objectives are allowed, the integer variables are binary......, and one of the two objectives has only integer variables. The proposed method is able to find the full set of nondominated points. It is tested on a large number of problem instances, from six different classes of MOMIP problems. The results reveal that the developed biobjective branch and bound method...

  17. Microprocessors & their operating systems a comprehensive guide to 8, 16 & 32 bit hardware, assembly language & computer architecture

    CERN Document Server

    Holland, R C

    1989-01-01

    Provides a comprehensive guide to all of the major microprocessor families (8, 16 and 32 bit). The hardware aspects and software implications are described, giving the reader an overall understanding of microcomputer architectures. The internal processor operation of each microprocessor device is presented, followed by descriptions of the instruction set and applications for the device. Software considerations are expanded with descriptions and examples of the main high level programming languages (BASIC, Pascal and C). The book also includes detailed descriptions of the three main operatin

  18. A fastbus master based on a risc microprocessor

    International Nuclear Information System (INIS)

    Cerrito, L.; Chorowicz, V.; Lebbolo, H.; Vallereau, A.

    1990-01-01

    SISIFUS is a general purpose Fastbus Master and Slave able to perform any operation on both Fastbus segments. Master operations are directed either by the processor or by two fast sequencers. A Block Mover function is implemented allowing direct data block transfers between two Slaves. SISIFUS uses the AM 29000 RISC microprocessor which can execute every assembler instruction in 40ns. The on-board monitor/debugger allows programs to be written in assembler from a terminal connected to the module or written in C and cross compiled on a host computer (PC)

  19. Fractional and integer charges from Levinson's theorem

    International Nuclear Information System (INIS)

    Farhi, E.; Graham, N.; Jaffe, R.L.; Weigel, H.

    2001-01-01

    We compute fractional and integer fermion quantum numbers of static background field configurations using phase shifts and Levinson's theorem. By extending fermionic scattering theory to arbitrary dimensions, we implement dimensional regularization in a (1+1)-dimensional gauge theory. We demonstrate that this regularization procedure automatically eliminates the anomaly in the vector current that a naive regulator would produce. We also apply these techniques to bag models in one and three dimensions

  20. Solving stochastic programs with integer recourse by enumeration : a framework using Gröbner basis reductions

    NARCIS (Netherlands)

    Schultz, R.; Stougie, L.; Vlerk, van der M.H.

    1998-01-01

    In this paper we present a framework for solving stochastic programs with complete integer recourse and discretely distributed right-hand side vector, using Gröbner basis methods from computational algebra to solve the numerous second-stage integer programs. Using structural properties of the

  1. Design of a microprocessor-based Control, Interface and Monitoring (CIM unit for turbine engine controls research

    Science.gov (United States)

    Delaat, J. C.; Soeder, J. F.

    1983-01-01

    High speed minicomputers were used in the past to implement advanced digital control algorithms for turbine engines. These minicomputers are typically large and expensive. It is desirable for a number of reasons to use microprocessor-based systems for future controls research. They are relatively compact, inexpensive, and are representative of the hardware that would be used for actual engine-mounted controls. The Control, Interface, and Monitoring Unit (CIM) contains a microprocessor-based controls computer, necessary interface hardware and a system to monitor while it is running an engine. It is presently being used to evaluate an advanced turbofan engine control algorithm.

  2. Designing fractional factorial split-plot experiments using integer programming

    DEFF Research Database (Denmark)

    Capehart, Shay R.; Keha, Ahmet; Kulahci, Murat

    2011-01-01

    factorial (FF) design, with the restricted randomisation structure to account for the whole plots and subplots. We discuss the formulation of FFSP designs using integer programming (IP) to achieve various design criteria. We specifically look at the maximum number of clear two-factor interactions...

  3. Quantum recurrence and integer ratios in neutron resonances

    Energy Technology Data Exchange (ETDEWEB)

    Ohkubo, Makio

    1998-03-01

    Quantum recurrence of the compound nucleus in neutron resonance reactions are described for normal modes which are excited on the compound nucleus simultaneously. In the structure of the recurrence time, integer relations among dominant level spacings are derived. The `base modes` are assumed as stable combinations of the normal modes, preferably excited in many nuclei. (author)

  4. Integer Flows and Circuit Covers of Graphs and Signed Graphs

    Science.gov (United States)

    Cheng, Jian

    The work in Chapter 2 is motivated by Tutte and Jaeger's pioneering work on converting modulo flows into integer-valued flows for ordinary graphs. For a signed graphs (G, sigma), we first prove that for each k ∈ {2, 3}, if (G, sigma) is (k - 1)-edge-connected and contains an even number of negative edges when k = 2, then every modulo k-flow of (G, sigma) can be converted into an integer-valued ( k + 1)-ow with a larger or the same support. We also prove that if (G, sigma) is odd-(2p+1)-edge-connected, then (G, sigma) admits a modulo circular (2 + 1/ p)-flows if and only if it admits an integer-valued circular (2 + 1/p)-flows, which improves all previous result by Xu and Zhang (DM2005), Schubert and Steffen (EJC2015), and Zhu (JCTB2015). Shortest circuit cover conjecture is one of the major open problems in graph theory. It states that every bridgeless graph G contains a set of circuits F such that each edge is contained in at least one member of F and the length of F is at most 7/5∥E(G)∥. This concept was recently generalized to signed graphs by Macajova et al. (JGT2015). In Chapter 3, we improve their upper bound from 11∥E( G)∥ to 14/3 ∥E(G)∥, and if G is 2-edgeconnected and has even negativeness, then it can be further reduced to 11/3 ∥E(G)∥. Tutte's 3-flow conjecture has been studied by many graph theorists in the last several decades. As a new approach to this conjecture, DeVos and Thomassen considered the vectors as ow values and found that there is a close relation between vector S1-flows and integer 3-NZFs. Motivated by their observation, in Chapter 4, we prove that if a graph G admits a vector S1-flow with rank at most two, then G admits an integer 3-NZF. The concept of even factors is highly related to the famous Four Color Theorem. We conclude this dissertation in Chapter 5 with an improvement of a recent result by Chen and Fan (JCTB2016) on the upperbound of even factors. We show that if a graph G contains an even factor, then it

  5. Tools for developing software for different types of microprocessors, to be used, in particular, for the acquisition and processing of nuclear data

    International Nuclear Information System (INIS)

    Maloeuvre, Michel.

    1982-04-01

    It is difficult to imagine the realization of a system with a microprocessor without the use of an adapted development system. As these systems are prohibitively expensive, it is difficult for a laboratory to acquire them. A computer, such as the Multi 20 is provided with programme generating tools and supervisors to put the computer's ressources at the microprocessor's disposal. An electronic crate assures interface functions with the computer, the emulation of the microprocessor and the loading of EROM and the live memory lank in order to execute the different units integrated into the crate, enables the crate to be used as a portable repair and maintenance outfit for the materials installed. In the first part of the text, we present the principles of the development tools showing how they are used to realize microprocessor equipment. In the second part, the software is optimized together with the choice of materials in order to define a low cost development system [fr

  6. Microprocessing in European High Energy Physics Experiments - ECFA Working Group on Data Processing Standards - Report of the Microprocessor Subgroup May 1982

    CERN Document Server

    European Committee for Future Accelerators (ECFA)

    1982-01-01

    This document contains two reports on the use of microprocessors in European High-Energy Physics experiments. The first is a presentation of data collected by a sub-group of the ECFA working group on data procesing standards. The working group is organised by E. Lillestol, University of Bergen and E.M. Rimmer, CERN, DD Division; the Microprocessor sub-group organiser is L.O. Hertzberger, NIKHEF, Amsterdam. Data are given from projects numbered 81 - 194, and some CERN projects are included. Even though there is some duplication of information, a second report has been appended which covers a wider range of CERN projects. This was the result of a microprocessor survey made at CERN by P. Scharff-Hansen, DD Division, at the request of E. Gabthuler. The ECFA working group intends to have reports for all the sub-groups (10 in number) available in machine-readable form at the CERN computer centre. However, it was felt that the information herein is most valuable to designers and users of microprocessors, and that it...

  7. Integers without Large Prime Factors in Short Intervals: Conditional ...

    Indian Academy of Sciences (India)

    ... Public Lectures · Lecture Workshops · Refresher Courses · Symposia · Live Streaming. Home; Journals; Proceedings – Mathematical Sciences; Volume 120; Issue 5. Integers without Large Prime Factors in Short Intervals: Conditional Results. Goutam Pal Satadal Ganguly. Volume 120 Issue 5 November 2010 pp 515-524 ...

  8. Integer Set Compression and Statistical Modeling

    DEFF Research Database (Denmark)

    Larsson, N. Jesper

    2014-01-01

    enumeration of elements may be arbitrary or random, but where statistics is kept in order to estimate probabilities of elements. We present a recursive subset-size encoding method that is able to benefit from statistics, explore the effects of permuting the enumeration order based on element probabilities......Compression of integer sets and sequences has been extensively studied for settings where elements follow a uniform probability distribution. In addition, methods exist that exploit clustering of elements in order to achieve higher compression performance. In this work, we address the case where...

  9. On the price of integer charge quarks

    International Nuclear Information System (INIS)

    Okun, L.B.; Voloshin, M.B.; Zakharov, V.I.

    1979-01-01

    Implication of the integer charge quark (ICQ) model with a broken SU(3)xU(1) gauge symmetry for interactions in the leptonic sector were discussed. In this model there should be very large deviations of e + e - →μ + μ - annihilation processes in the GeV region from the standard QED behaviour. Such deviations seem to be completely excluded by existing data. Therefore it is concluded that the ICQ model is ruled out

  10. BitPAl: a bit-parallel, general integer-scoring sequence alignment algorithm.

    Science.gov (United States)

    Loving, Joshua; Hernandez, Yozen; Benson, Gary

    2014-11-15

    Mapping of high-throughput sequencing data and other bulk sequence comparison applications have motivated a search for high-efficiency sequence alignment algorithms. The bit-parallel approach represents individual cells in an alignment scoring matrix as bits in computer words and emulates the calculation of scores by a series of logic operations composed of AND, OR, XOR, complement, shift and addition. Bit-parallelism has been successfully applied to the longest common subsequence (LCS) and edit-distance problems, producing fast algorithms in practice. We have developed BitPAl, a bit-parallel algorithm for general, integer-scoring global alignment. Integer-scoring schemes assign integer weights for match, mismatch and insertion/deletion. The BitPAl method uses structural properties in the relationship between adjacent scores in the scoring matrix to construct classes of efficient algorithms, each designed for a particular set of weights. In timed tests, we show that BitPAl runs 7-25 times faster than a standard iterative algorithm. Source code is freely available for download at http://lobstah.bu.edu/BitPAl/BitPAl.html. BitPAl is implemented in C and runs on all major operating systems. jloving@bu.edu or yhernand@bu.edu or gbenson@bu.edu Supplementary data are available at Bioinformatics online. © The Author 2014. Published by Oxford University Press.

  11. Right Propositional Neighborhood Logic over Natural Numbers with Integer Constraints for Interval Lengths

    DEFF Research Database (Denmark)

    Bresolin, Davide; Goranko, Valentin; Montanari, Angelo

    2009-01-01

    Interval temporal logics are based on interval structures over linearly (or partially) ordered domains, where time intervals, rather than time instants, are the primitive ontological entities. In this paper we introduce and study Right Propositional Neighborhood Logic over natural numbers...... with integer constraints for interval lengths, which is a propositional interval temporal logic featuring a modality for the 'right neighborhood' relation between intervals and explicit integer constraints for interval lengths. We prove that it has the bounded model property with respect to ultimately periodic...

  12. Microprocessor Control Design for a Low-Head Crossflow Turbine.

    Science.gov (United States)

    1985-03-01

    Controllers For a Typical 10 KW Hydroturbine ............ 1-5 I-1 Ely’s Crossflow Turbine . ........ 11-2 11-2 Basic Turbine * * 0 * 0 11-5 11-3 Turbine...the systems. For example, a 25 kilowatt hydroturbine built and installed by Bell Hydroelectric would cost approximately $20,000 in 1978 (6:49). The...O Manual Controller S2 E- Microprocessor Controller 1 2 3 4 5 6 7 8 YEARS Fig. 1-2 Comparative Costs of Controllers For a Typical 10 KW Hydroturbine

  13. New Approaches for Very Large-Scale Integer Programming

    Science.gov (United States)

    2016-06-24

    DISTRIBUTION/ AVAILABILITY STATEMENT Approved for Public Release 13. SUPPLEMENTARY NOTES 14. ABSTRACT The focus of this project is new computational... heuristics for integer programs in order to rapidly improve dual bounds. 2. Choosing good branching variables in branch-and-bound algorithms for MIP. 3...programming, algorithms, parallel processing, machine learning, heuristics 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF ABSTRACT UU 18. NUMBER OF

  14. Microprocessor system for data acquisition processing and display for Auger electrons spectrometer

    International Nuclear Information System (INIS)

    Pawlowski, Z.; Cudny, W.; Hildebrandt, S.; Marzec, J.; Walentek, J.; Zaremba, K.

    1984-01-01

    Data acquisition system for Auger electron spectrometry is developed. The system is used for chemical and structural analysis of materials and consists of a cylindrical mirror analyzer being a measuring spectrometer device, CAMAC unit and control unit. The control unit comprises a microcomputer based on INTEL 8080 microprocessor and display

  15. The design of an asynchronous Tiny RISC TM/TR4101 microprocessor core

    DEFF Research Database (Denmark)

    Christensen, Kåre Tais; Jensen, P.; Korger, P.

    1998-01-01

    This paper presents the design of an asynchronous version of the TR4101 embedded microprocessor core developed by LSI Logic Inc. The asynchronous processor, called ARISC, was designed using the same CAD tools and the same standard cell library that was used to implement the TR4101. The paper repo...

  16. Adapting to change: influence of a microprocessor-controlled prosthetic knee on gait adaptations

    NARCIS (Netherlands)

    Prinsen, Erik Christiaan

    2016-01-01

    Advancement in prosthetic knee design have led to the introduction of microprocessor-controlled prosthetic knees (MPKs). MPKs incorporate sensors that are able to measure prosthetic loading, the knee angle, and knee angular velocity. Based on the sensor information, MPKs determine the optimal level

  17. Microprocessor based image processing system

    International Nuclear Information System (INIS)

    Mirza, M.I.; Siddiqui, M.N.; Rangoonwala, A.

    1987-01-01

    Rapid developments in the production of integrated circuits and introduction of sophisticated 8,16 and now 32 bit microprocessor based computers, have set new trends in computer applications. Nowadays the users by investing much less money can make optimal use of smaller systems by getting them custom-tailored according to their requirements. During the past decade there have been great advancements in the field of computer Graphics and consequently, 'Image Processing' has emerged as a separate independent field. Image Processing is being used in a number of disciplines. In the Medical Sciences, it is used to construct pseudo color images from computer aided tomography (CAT) or positron emission tomography (PET) scanners. Art, advertising and publishing people use pseudo colours in pursuit of more effective graphics. Structural engineers use Image Processing to examine weld X-rays to search for imperfections. Photographers use Image Processing for various enhancements which are difficult to achieve in a conventional dark room. (author)

  18. Microprocessor system for temperature regulation and stabilization

    International Nuclear Information System (INIS)

    Nguyen Nhi Dien; Rodionov, K.G.

    1989-01-01

    Microprocessor based system for temperature regulation and stabilization of an operation external object is described. The system has the direct current amplifier working according to modulator-demodulator principle. The overal gain is 100, 1000, 2000. The maximum output signal is ±10 V. The power amplifier is a thyristor one and its line voltage is 220 V, 50 Hz. The output power is 0-2 kVA. The microcontroller has a remote display terminal. Data input is 8 and data output is one. Input and output voltage is ±(0-10) V. The preselection time for stabilization is within 1 s - 18 h. The program algorithm is given. 5 figs.; 1 tab

  19. What Else Is Decidable about Integer Arrays?

    OpenAIRE

    Habermehl, Peter; Iosif, Radu; Vojnar, Tomáš

    2008-01-01

    International audience; We introduce a new decidable logic for reasoning about infinite arrays of integers. The logic is in the ∃ * ∀ * first-order fragment and allows (1) Presburger constraints on existentially quantified variables, (2) difference constraints as well as periodicity constraints on universally quantified indices, and (3) difference constraints on values. In particular, using our logic, one can express constraints on consecutive elements of arrays (e.g. ∀i. 0 ≤ i < n → a[i + 1]...

  20. Integer programming for the generalized high school timetabling problem

    DEFF Research Database (Denmark)

    Kristiansen, Simon; Sørensen, Matias; Stidsen, Thomas Riis

    2015-01-01

    , the XHSTT format serves as a common ground for researchers within this area. This paper describes the first exact method capable of handling an arbitrary instance of the XHSTT format. The method is based on a mixed-integer linear programming (MIP) model, which is solved in two steps with a commercial...

  1. Microprocessor-controlled time domain reflectometer for dynamic shock position measurements

    International Nuclear Information System (INIS)

    Virchow, C.F.; Conrad, G.E.; Holt, D.M.; Hodson, E.K.

    1980-01-01

    Time-domain reflectometry is used in a novel way to measure dynamically shock propagation in various media. The primary component in this measurement system is a digital time domain reflectometer, which uses local intelligence, a Motorola 6800 microprocessor, to make the unit adaptable and versatile. The recorder, its operating theory and its method of implementation are described and typical data are reviewed. Applications include nuclear explosion yield estimates and explosive energy flow measurements

  2. Is there a computable upper bound for the height of a solution of a Diophantine equation with a unique solution in positive integers?

    Directory of Open Access Journals (Sweden)

    Tyszka Apoloniusz

    2017-03-01

    Full Text Available Let Bn = {xi · xj = xk : i, j, k ∈ {1, . . . , n}} ∪ {xi + 1 = xk : i, k ∈ {1, . . . , n}} denote the system of equations in the variables x1, . . . , xn. For a positive integer n, let _(n denote the smallest positive integer b such that for each system of equations S ⊆ Bn with a unique solution in positive integers x1, . . . , xn, this solution belongs to [1, b]n. Let g(1 = 1, and let g(n + 1 = 22g(n for every positive integer n. We conjecture that ξ (n 6 g(2n for every positive integer n. We prove: (1 the function ξ : N \\ {0} → N \\ {0} is computable in the limit; (2 if a function f : N \\ {0} → N \\ {0} has a single-fold Diophantine representation, then there exists a positive integer m such that f (n m; (3 the conjecture implies that there exists an algorithm which takes as input a Diophantine equation D(x1, . . . , xp = 0 and returns a positive integer d with the following property: for every positive integers a1, . . . , ap, if the tuple (a1, . . . , ap solely solves the equation D(x1, . . . , xp = 0 in positive integers, then a1, . . . , ap 6 d; (4 the conjecture implies that if a set M ⊆ N has a single-fold Diophantine representation, then M is computable; (5 for every integer n > 9, the inequality ξ (n < (22n−5 − 12n−5 + 1 implies that 22n−5 + 1 is composite.

  3. The HXR80M-balloon experiment: a microprocessor-controlled transatlantic payload

    International Nuclear Information System (INIS)

    Ubertini, P.; Bazzano, A.; Boccaccini, L.

    1980-01-01

    Following the results obtained from the succesful transatlantic flight launched during the summer 1976 from the CNR Milo Base, Sicily, the Laboratorio di Astrofisica Spaziale has started a new program in the hard X-ray astronomy field. It basically consists in the development of high resolution large area Multiwire Proportional Chambers to be employed in long duration balloon flights to study and monitor galactic and extragalactic sources. This note will describe the flight configuration and performances of the HXR80M payload. The experiment is expected to fly during July 1980 from the Milo Base in the framework of the CNR experimental balloon campaign. The note will analyze the main characteristics of the detectors employed, of the data handling electronics and in particular of the hardware and the software of the on-board microprocessor controlled multichannel analyzer. In fact the limitation due to the low bit rate HF link (1.2kbit/s) and the long flight duration (about one week) make imperative the use of an on-board microprocessor system to handle and select in real time the scientific data and to control the housekeeping and the telecommand systems

  4. An Improved Search Approach for Solving Non-Convex Mixed-Integer Non Linear Programming Problems

    Science.gov (United States)

    Sitopu, Joni Wilson; Mawengkang, Herman; Syafitri Lubis, Riri

    2018-01-01

    The nonlinear mathematical programming problem addressed in this paper has a structure characterized by a subset of variables restricted to assume discrete values, which are linear and separable from the continuous variables. The strategy of releasing nonbasic variables from their bounds, combined with the “active constraint” method, has been developed. This strategy is used to force the appropriate non-integer basic variables to move to their neighbourhood integer points. Successful implementation of these algorithms was achieved on various test problems.

  5. A CAMAC-resident microprocessor for the monitoring of polarimeter spin states

    International Nuclear Information System (INIS)

    Reid, D.; DuPlantis, D.; Yoder, N.; Dale, D.

    1992-01-01

    A CAMAC module for the reporting of polarimeter spin states is being developed using a resident microcontroller. The module will allow experimenters at the Indiana University Cyclotron Facility to monitor spin states and correlate spin information with other experimental data. The use of a microprocessor allows for adaptation of the module as new requirements ensue without change to the printed circuit board layout. (author)

  6. Use of a microprocessor in the CAMAC standard. The dedicated microcomputer: JCAM-10

    International Nuclear Information System (INIS)

    Gallice, Pierre.

    1978-01-01

    The general purpose minicomputers and dedicated crate controllers currently used in small CAMAC systems are now being superseded by autonomous crate controllers with built-in microprocessor such as the JCAM-10, which is in fact a CAMAC dedicated microcomputer. This controller has been designed around the INTEL-8080 microprocessor and employs a semiconductor memory. The very much reduced price and smaller packaging of this module, and the relatively large potential market of CAMAC systems justify the tremendous efforts required for the study of its complete system as well in hardware than in software. After a short description of the CAMAC standard this paper will describe the principle of the microcomputer JCAM-10, and its complementary system: hardware (peripheral modules) and software (TTY command processor, Input Output, Control system, interrupt system, text editor, local macro-assembler, LP and BASICAM local compilers). As application examples, an autonomous counting system and a distributed intelligence system will be described [fr

  7. Direct comparison of fractional and integer quantized Hall resistance

    Science.gov (United States)

    Ahlers, Franz J.; Götz, Martin; Pierz, Klaus

    2017-08-01

    We present precision measurements of the fractional quantized Hall effect, where the quantized resistance {{R}≤ft[ 1/3 \\right]} in the fractional quantum Hall state at filling factor 1/3 was compared with a quantized resistance {{R}[2]} , represented by an integer quantum Hall state at filling factor 2. A cryogenic current comparator bridge capable of currents down to the nanoampere range was used to directly compare two resistance values of two GaAs-based devices located in two cryostats. A value of 1-(5.3  ±  6.3) 10-8 (95% confidence level) was obtained for the ratio ({{R}≤ft[ 1/3 \\right]}/6{{R}[2]} ). This constitutes the most precise comparison of integer resistance quantization (in terms of h/e 2) in single-particle systems and of fractional quantization in fractionally charged quasi-particle systems. While not relevant for practical metrology, such a test of the validity of the underlying physics is of significance in the context of the upcoming revision of the SI.

  8. Microprocessor-based control for independently-phased RF linac cavities

    International Nuclear Information System (INIS)

    Dawson, J.W.

    1979-01-01

    A microprocessor based system has been built to control the RF amplifiers associated with independently phased linac cavities. The system has an 8080A at each amplifier station, together with associated ROM, RAM, I/O, etc. At a central NOVA 3 computer an additional 8080A system is incorporated in the interface to the NOVA I/O bus. The NOVA interface is connected by a bus of eighteen twisted pairs to each amplifier station, providing bilateral transmission between each station and the NOVA. The system architecture, bus protocol, and operating characteristics are described

  9. Practical design of digital circuits basic logic to microprocessors

    CERN Document Server

    Kampel, Ian

    1983-01-01

    Practical Design of Digital Circuits: Basic Logic to Microprocessors demonstrates the practical aspects of digital circuit design. The intention is to give the reader sufficient confidence to embark upon his own design projects utilizing digital integrated circuits as soon as possible. The book is organized into three parts. Part 1 teaches the basic principles of practical design, and introduces the designer to his """"tools"""" - or rather, the range of devices that can be called upon. Part 2 shows the designer how to put these together into viable designs. It includes two detailed descriptio

  10. Application of Microprocessor-Based Equipment in Nuclear Power Plants - Technical Basis for a Qualification Methodology

    International Nuclear Information System (INIS)

    Korsah, K.

    2001-01-01

    This document (1) summarizes the most significant findings of the ''Qualification of Advanced Instrumentation and Control (I and C) Systems'' program initiated by the Nuclear Regulatory Commission (NRC); (2) documents a comparative analysis of U.S. and European qualification standards; and (3) provides recommendations for enhancing regulatory guidance for environmental qualification of microprocessor-based safety-related systems. Safety-related I and C system upgrades of present-day nuclear power plants, as well as I and C systems of Advanced Light-Water Reactors (ALWRs), are expected to make increasing use of microprocessor-based technology. The Nuclear Regulatory Commission (NRC) recognized that the use of such technology may pose environmental qualification challenges different from current, analog-based I and C systems. Hence, it initiated the ''Qualification of Advanced Instrumentation and Control Systems'' program. The objectives of this confirmatory research project are to (1) identify any unique environmental-stress-related failure modes posed by digital technologies and their potential impact on the safety systems and (2) develop the technical basis for regulatory guidance using these findings. Previous findings from this study have been documented in several technical reports. This final report in the series documents a comparative analysis of two environmental qualification standards--Institute of Electrical and Electronics Engineers (IEEE) Std 323-1983 and International Electrotechnical Commission (IEC) 60780 (1998)--and provides recommendations for environmental qualification of microprocessor-based systems based on this analysis as well as on the findings documented in the previous reports. The two standards were chosen for this analysis because IEEE 323 is the standard used in the U.S. for the qualification of safety-related equipment in nuclear power plants, and IEC 60780 is its European counterpart. In addition, the IEC document was published in

  11. Rigorous solution to Bargmann-Wigner equation for integer spin

    CERN Document Server

    Huang Shi Zhong; Wu Ning; Zheng Zhi Peng

    2002-01-01

    A rigorous method is developed to solve the Bargamann-Wigner equation for arbitrary integer spin in coordinate representation in a step by step way. The Bargmann-Wigner equation is first transformed to a form easier to solve, the new equations are then solved rigorously in coordinate representation, and the wave functions in a closed form are thus derived

  12. AFRRI's conversion to a microprocessor-based reactor instrumentation and control system

    International Nuclear Information System (INIS)

    Moore, Mark L.; Hodgdon, Kenneth M.

    1986-01-01

    The Armed Forces Radiobiology Research Institute (AFRRI) is procuring a state-of- the-art microprocessor-based instrumentation and control system to operate AFRRI's 1 MW (steady-state), 3000 MW (pulse) TRIGA Mark-F reactor. This system will replace the current control console while improving or maintaining the existing operational capabilities and safety characteristics. The new unit will have a 15-year design life using state-of-the-art components

  13. Microprocessor based mobile radiation survey system

    International Nuclear Information System (INIS)

    Gilbert, R.W.; McCormack, W.D.

    1983-12-01

    A microprocessor-based system has been designed and constructed to enhance the performance of routine radiation surveys on roads within the Hanford site. This device continually monitors system performance and output from four sodium iodide detectors mounted on the rear bumper of a 4-wheel drive truck. The gamma radiation count rate in counts-per-second is monitored, and a running average computed, with the results compared to predefined limits. If an abnormal instantaneous or average count rate is detected, an alarm is sounded with responsible data displayed on a liquid crystal panel in the cab of the vehicle. The system also has the capability to evaluate detector output using multiple time constants and to perform more complex tests and comparison of the data. Data can be archived for later analysis on conventional chart recorders or stored in digital form on magnetic tape or other digital storage media. 4 figures

  14. Use of a microprocessor in a remote working level monitor

    International Nuclear Information System (INIS)

    Keefe, D.J.; McDowell, W.P.; Groer, P.G.

    1976-01-01

    The instrument described measures the short-lived 222 Rn-daughter concentrations and the Working Level (WL) in sealed ''hot chambers'' located in uranium mines. Radiation-induced pulses from two separate sensors are transmitted through 500 ft. cables to a microprocessor, which processes the pulses and controls the operation of the system. A read-only memory stores a fixed program which is used to calculate the desired concentrations. The results are printed as pCi/l (Rn-daughter concentrations) and WL

  15. Unimodular lattices in dimensions 14 and 15 over the Eisenstein integers

    Science.gov (United States)

    Abdukhalikov, Kanat; Scharlau, Rudolf

    2009-03-01

    All indecomposable unimodular hermitian lattices in dimensions 14 and 15 over the ring of integers in mathbb{Q}(sqrt{-3}) are determined. Precisely one lattice in dimension 14 and two lattices in dimension 15 have minimal norm 3.

  16. Mixed-Integer Conic Linear Programming: Challenges and Perspectives

    Science.gov (United States)

    2013-10-01

    The novel DCCs for MISOCO may be used in branch- and-cut algorithms when solving MISOCO problems. The experimental software CICLO was developed to...perform limited, but rigorous computational experiments. The CICLO solver utilizes continuous SOCO solvers, MOSEK, CPLES or SeDuMi, builds on the open...submitted Fall 2013. Software: 1. CICLO : Integer conic linear optimization package. Authors: J.C. Góez, T.K. Ralphs, Y. Fu, and T. Terlaky

  17. A property of assignment type mixed integer linear programming problems

    NARCIS (Netherlands)

    Benders, J.F.; van Nunen, J.A.E.E.

    1982-01-01

    In this paper we will proof that rather tight upper bounds can be given for the number of non-unique assignments that are achieved after solving the linear programming relaxation of some types of mixed integer linear assignment problems. Since in these cases the number of splitted assignments is

  18. A mixed integer linear program for an integrated fishery | Hasan ...

    African Journals Online (AJOL)

    ... and labour allocation of quota based integrated fisheries. We demonstrate the workability of our model with a numerical example and sensitivity analysis based on data obtained from one of the major fisheries in New Zealand. Keywords: mixed integer linear program, fishing, trawler scheduling, processing, quotas ORiON: ...

  19. IMC-PID-fractional-order-filter controllers design for integer order systems.

    Science.gov (United States)

    Maâmar, Bettayeb; Rachid, Mansouri

    2014-09-01

    One of the reasons of the great success of standard PID controllers is the presence of simple tuning rules, of the automatic tuning feature and of tables that simplify significantly their design. For the fractional order case, some tuning rules have been proposed in the literature. However, they are not general because they are valid only for some model cases. In this paper, a new approach is investigated. The fractional property is not especially imposed by the controller structure but by the closed loop reference model. The resulting controller is fractional but it has a very interesting structure for its implementation. Indeed, the controller can be decomposed into two transfer functions: an integer transfer function which is generally an integer PID controller and a simple fractional filter. Copyright © 2014 ISA. Published by Elsevier Ltd. All rights reserved.

  20. Microprocessor control unit of thyristor regulator of microhydroelectric power station ballast load

    International Nuclear Information System (INIS)

    Nomokonova, Yu; Bogdanov, E

    2014-01-01

    The operational principle of microhydroelectric power station ballast load is presented. The comparative overview of the mathematical modeling methods is performed. The ranges of thyristors optimal work are shown as a result of the regulator regimes analysis. Shows the necessity of regulation the ballast load in microhydroelectric power station with help of developed algorithm of the program for microprocessor control

  1. JIST: Just-In-Time Scheduling Translation for Parallel Processors

    Directory of Open Access Journals (Sweden)

    Giovanni Agosta

    2005-01-01

    Full Text Available The application fields of bytecode virtual machines and VLIW processors overlap in the area of embedded and mobile systems, where the two technologies offer different benefits, namely high code portability, low power consumption and reduced hardware cost. Dynamic compilation makes it possible to bridge the gap between the two technologies, but special attention must be paid to software instruction scheduling, a must for the VLIW architectures. We have implemented JIST, a Virtual Machine and JIT compiler for Java Bytecode targeted to a VLIW processor. We show the impact of various optimizations on the performance of code compiled with JIST through the experimental study on a set of benchmark programs. We report significant speedups, and increments in the number of instructions issued per cycle up to 50% with respect to the non-scheduling version of the JITcompiler. Further optimizations are discussed.

  2. Microprocessor-assisted calibration for a remote working level monitor

    International Nuclear Information System (INIS)

    McDowell, W.P.; Keefe, D.J.; Groer, P.G.; Witek, R.T.

    1977-01-01

    A method is described for calibrating a Remote Working Level Monitor, an instrument which measures Working Level and Rn-daughter concentrations in the atmosphere. The method makes use of a microprocessor to calculate beta efficiencies for RaB and RaC from the counts accumulated in the RaA, Ra(B + C) and RaC' channels of the instrument. Both the alpha spectroscopic and total-alpha methods are used to determine the Rn-daughter concentrations. These methods require the processor to solve systems of linear equations with several unknowns. No assumptions about Rn-daughter equilibrium are made

  3. Microprocessor-assisted calibration for a remote working level monitor

    International Nuclear Information System (INIS)

    McDowell, W.P.; Keefe, D.J.; Groer, P.G.; Witek, R.T.

    1976-01-01

    A method is described for calibrating a Remote Working Level Monitor, an instrument which measures Working Level and Rn-daughter concentrations in the atmosphere. The method makes use of a microprocessor to calculate beta efficiencies for RaB and RaC from the counts accumulated in the RaA, Ra(B + C) and RaC' channels of the instrument. Both the alpha spectroscopic and total-alpha methods are used to determine the Rn-daughter concentrations. These methods require the processor to solve systems of linear equations with several unknowns. No assumptions about Rn-daughter equilibrium are made

  4. Microprocessor-controlled data-acquisition instrument for neutron-activation measurements

    International Nuclear Information System (INIS)

    Jones, B.A.

    1981-01-01

    This paper describes a microprocessor controlled data acquisition instrument designed at Lawrence Livermore National Laboratory to provide experimenters with a diagnostic tool for measuring the performance of laser imploded fusion targets via neutron activation techniques. This instrument features the ability to count four independent inputs simultaneously while providing a front panel readout of these inputs, plus a time of day clock. A hardcopy printout of the data is also provided by a built-in thermal printer. All running modes and parameters are user selectable via a front panel keypad, and a complete set of internal self-testing diagnostics are available for debug

  5. Microprocessor-controlled inhalation system for repeated exposure of animals to aerosols

    International Nuclear Information System (INIS)

    Carpenter, R.L.; Barr, F.P.; Leydig, R.L.; Rajala, R.E.

    1979-01-01

    A microprocessor-controlled inhalation exposure system (MCIES) has been built to automate aerosol generation and sampling while controlling exposure time for animal toxicity studies. The system has a time resolution of 0.1 s and automatically sequences the exposure events from initiation to temination of the exposure. The operator is required to preset all airflows, read in a paper tape containing the time sequence of events, and initiate the automatic sequence by closing a switch

  6. A monitoring and protective system for mine hoists using a microprocessor

    Energy Technology Data Exchange (ETDEWEB)

    Jianlin, Zhu

    1981-11-01

    In view of the existing problems of depth indicators and tachometers currently used in mine hoists, a measuring technique using a 'wire cable magnetic stripe' and a tentative proposal for a monitoring system with a microprocessor are described. The system can be used for measuring and indicating the depth, speed of the hoisting system, and can provide protection against overwinding, overspeeding and slack rope as well as monitoring the direction of hoisting. (In Chinese)

  7. A lightweight security scheme for wireless body area networks: design, energy evaluation and proposed microprocessor design.

    Science.gov (United States)

    Selimis, Georgios; Huang, Li; Massé, Fabien; Tsekoura, Ioanna; Ashouei, Maryam; Catthoor, Francky; Huisken, Jos; Stuyt, Jan; Dolmans, Guido; Penders, Julien; De Groot, Harmke

    2011-10-01

    In order for wireless body area networks to meet widespread adoption, a number of security implications must be explored to promote and maintain fundamental medical ethical principles and social expectations. As a result, integration of security functionality to sensor nodes is required. Integrating security functionality to a wireless sensor node increases the size of the stored software program in program memory, the required time that the sensor's microprocessor needs to process the data and the wireless network traffic which is exchanged among sensors. This security overhead has dominant impact on the energy dissipation which is strongly related to the lifetime of the sensor, a critical aspect in wireless sensor network (WSN) technology. Strict definition of the security functionality, complete hardware model (microprocessor and radio), WBAN topology and the structure of the medium access control (MAC) frame are required for an accurate estimation of the energy that security introduces into the WBAN. In this work, we define a lightweight security scheme for WBAN, we estimate the additional energy consumption that the security scheme introduces to WBAN based on commercial available off-the-shelf hardware components (microprocessor and radio), the network topology and the MAC frame. Furthermore, we propose a new microcontroller design in order to reduce the energy consumption of the system. Experimental results and comparisons with other works are given.

  8. Instrument for bone mineral measurement using a microprocessor as the control and arithmetic element

    International Nuclear Information System (INIS)

    Alberi, J.L.; Hardy, W.H. II.

    1975-11-01

    A self-contained instrument for the determination of bone mineral content by photon absorptometry is described. A high-resolution detection system allows measurements to be made at up to 16 photon energies. Control and arithmetic functions are performed by a microprocessor. Analysis capability and limitations are discussed

  9. A new Tevatron Collider working point near the integer

    International Nuclear Information System (INIS)

    Johnson, R.P.; Zhang, P.

    1989-12-01

    It is well established that in hadron colliders the beam-beam interaction is more harmful in the presence of machine resonances of the form mν x + nν y = p, where |m| + |n| is the order of the resonance. Since the closest a resonance line can be to the integer stopband is 1/order, the closer the working point is to the integer, the fewer lower order resonances there are to enhance the beam-beam effects. A shift of the working point of the Tevatron from 19.4 to values near 19 and 20 has been studied. Problems with closed orbit control, dispersion matching, and matched low β insertions were considered. An excellent solution for the B0 insertion was found which has an improved β*. A new injection optics allows a transition to the low β optics which is much easier than the one now used. Results from the first machine studies demonstrate the ability to control the orbit with tunes of 19.03 horizontal and 20.03 vertical. Further studies require the activation of additional quadrupole compensation circuits. 4 refs. , 2 figs

  10. Supply system with microprocessor control for electron gun

    International Nuclear Information System (INIS)

    Duplin, N.I.; Sergeev, N.N.

    1988-01-01

    Precision supply system for electron gun used in Auger-spectrometer is described. The supply system consists of control and high-voltage parts, made as separate units. Supply high-voltage unit includes system supply module, filament module to supply electron gun cathode and 6 high-volt modules to supply accelerating, modulating and three focusing electrodes of the gun. High-voltage modules have the following characteristics: U-(100-1000)V output voltage, 5x10 -5 U stability, 10 -5 xU pulsation amplitude, J-(0-5)A filament current change range at 10 -4 xJ stability. Control unit including microprocessor, timer and storage devices forms control voltage for all modules and regulates voltage and current of filament at electrodes

  11. Solving the Water Jugs Problem by an Integer Sequence Approach

    Science.gov (United States)

    Man, Yiu-Kwong

    2012-01-01

    In this article, we present an integer sequence approach to solve the classic water jugs problem. The solution steps can be obtained easily by additions and subtractions only, which is suitable for manual calculation or programming by computer. This approach can be introduced to secondary and undergraduate students, and also to teachers and…

  12. Reduced-Size Integer Linear Programming Models for String Selection Problems: Application to the Farthest String Problem.

    Science.gov (United States)

    Zörnig, Peter

    2015-08-01

    We present integer programming models for some variants of the farthest string problem. The number of variables and constraints is substantially less than that of the integer linear programming models known in the literature. Moreover, the solution of the linear programming-relaxation contains only a small proportion of noninteger values, which considerably simplifies the rounding process. Numerical tests have shown excellent results, especially when a small set of long sequences is given.

  13. Time-Series INSAR: An Integer Least-Squares Approach For Distributed Scatterers

    Science.gov (United States)

    Samiei-Esfahany, Sami; Hanssen, Ramon F.

    2012-01-01

    The objective of this research is to extend the geode- tic mathematical model which was developed for persistent scatterers to a model which can exploit distributed scatterers (DS). The main focus is on the integer least- squares framework, and the main challenge is to include the decorrelation effect in the mathematical model. In order to adapt the integer least-squares mathematical model for DS we altered the model from a single master to a multi-master configuration and introduced the decorrelation effect stochastically. This effect is described in our model by a full covariance matrix. We propose to de- rive this covariance matrix by numerical integration of the (joint) probability distribution function (PDF) of interferometric phases. This PDF is a function of coherence values and can be directly computed from radar data. We show that the use of this model can improve the performance of temporal phase unwrapping of distributed scatterers.

  14. Smart-Grid Backbone Network Real-Time Delay Reduction via Integer Programming.

    Science.gov (United States)

    Pagadrai, Sasikanth; Yilmaz, Muhittin; Valluri, Pratyush

    2016-08-01

    This research investigates an optimal delay-based virtual topology design using integer linear programming (ILP), which is applied to the current backbone networks such as smart-grid real-time communication systems. A network traffic matrix is applied and the corresponding virtual topology problem is solved using the ILP formulations that include a network delay-dependent objective function and lightpath routing, wavelength assignment, wavelength continuity, flow routing, and traffic loss constraints. The proposed optimization approach provides an efficient deterministic integration of intelligent sensing and decision making, and network learning features for superior smart grid operations by adaptively responding the time-varying network traffic data as well as operational constraints to maintain optimal virtual topologies. A representative optical backbone network has been utilized to demonstrate the proposed optimization framework whose simulation results indicate that superior smart-grid network performance can be achieved using commercial networks and integer programming.

  15. Microprocessor-based integrated LMFBR core surveillance

    International Nuclear Information System (INIS)

    Gmeiner, L.

    1984-06-01

    This report results from a joint study of KfK and INTERATOM. The aim of this study is to explore the advantages of microprocessors and microelectronics for a more sophisticated core surveillance, which is based on the integration of separate surveillance techniques. Due to new developments in microelectronics and related software an approach to LMFBR core surveillance can be conceived that combines a number of measurements into a more intelligent decision-making data processing system. The following techniques are considered to contribute essentially to an integrated core surveillance system: - subassembly state and thermal hydraulics performance monitoring, - temperature noise analysis, - acoustic core surveillance, - failure characterization and failure prediction based on DND- and cover gas signals, and - flux tilting techniques. Starting from a description of these techniques it is shown that by combination and correlation of these individual techniques a higher degree of cost-effectiveness, reliability and accuracy can be achieved. (orig./GL) [de

  16. Application of Integer and Fractional Models in Electrochemical Systems

    Directory of Open Access Journals (Sweden)

    Isabel S. Jesus

    2012-01-01

    Full Text Available This paper describes the use of integer and fractional electrical elements, for modelling two electrochemical systems. A first type of system consists of botanical elements and a second type is implemented by electrolyte processes with fractal electrodes. Experimental results are analyzed in the frequency domain, and the pros and cons of adopting fractional-order electrical components for modelling these systems are compared.

  17. Penempatan Optimal Phasor Measurement Unit (PMU) Dengan Integer Programming

    OpenAIRE

    Amrulloh, Yunan Helmy

    2013-01-01

    Phasor Measurement Unit (PMU) merupakan peralatan yang mampu memberikan pengukuran fasor tegangan dan arus secara real-time. PMU dapat digunakan untuk monitoring, proteksi dan kontrol pada sistem tenaga listrik. Tugas akhir ini membahas penempatan PMU secara optimal berdasarkan topologi jaringan sehingga sistem tenaga listrik dapat diobservasi. Penempatan optimal PMU dirumuskan sebagai masalah Binary Integer Programming (BIP) yang akan memberikan variabel dengan pilihan nilai (0,1) yang menu...

  18. Half-integer flux quantum effect in cuprate superconductors - a probe of pairing symmetry

    International Nuclear Information System (INIS)

    Tsuei, C.C.; Kirtley, J.R.; Gupta, A.; Sun, J.Z.; Moler, K.A.; Wang, J.H.

    1996-01-01

    Based on macroscopic quantum coherence effects arising from pair tunneling and flux quantization, a series of tricrystal experiments have been designed and carried out to test the order parameter symmetry in high-T c cuprate superconductors. By using a scanning SQUID microscope, we have directly and non-invasively observed the spontaneously generated half-integer flux quantum effect in controlled-orientation tricrystal cuprate superconducting systems. The presence or absence of the half-integer flux quantum effect as a function of the tricrystal geometry allows us to prove that the order parameter symmetry in the YBCO and Tl2201 systems is consistent with that of the d x 2 -y 2 pair state. (orig.)

  19. A microprocessor-controlled assay for the estimation of human placental lactogen

    International Nuclear Information System (INIS)

    Adam, T.; Roulston, J.E.; Bagshawe, K.D.

    1979-01-01

    A radioimmunoassay for human placental lactogen (HPL) is described using the KEMTEK 3000, which is a modular radioimmunoassay apparatus controlled by a microprocessor. Operation of the KEMTEK 3000 is largely automatic and it requires minimal intervention from the operator. It is capable of 300 reactions per hour so that a large number of estimations can readily be performed. HPL was assayed by a double antibody method on serum samples from pregnant women and patients with trophoblastic tumours. (Auth.)

  20. Autonomous controller (JCAM 10) for CAMAC crate with 8080 (INTEL) microprocessor

    International Nuclear Information System (INIS)

    Gallice, P.; Mathis, M.

    1975-01-01

    The CAMAC crate autonomous controller JCAM-10 is designed around an INTEL 8080 microprocessor in association with a 5K RAM and 4K REPROM memory. The concept of the module is described, in which data transfers between CAMAC modules and the memory are optimised from software point of view as well as from execution time. In fact, the JCAM-10 is a microcomputer with a set of 1000 peripheral units represented by the CAMAC modules commercially available

  1. DESIGN OF DYADIC-INTEGER-COEFFICIENTS BASED BI-ORTHOGONAL WAVELET FILTERS FOR IMAGE SUPER-RESOLUTION USING SUB-PIXEL IMAGE REGISTRATION

    Directory of Open Access Journals (Sweden)

    P.B. Chopade

    2014-05-01

    Full Text Available This paper presents image super-resolution scheme based on sub-pixel image registration by the design of a specific class of dyadic-integer-coefficient based wavelet filters derived from the construction of a half-band polynomial. First, the integer-coefficient based half-band polynomial is designed by the splitting approach. Next, this designed half-band polynomial is factorized and assigned specific number of vanishing moments and roots to obtain the dyadic-integer coefficients low-pass analysis and synthesis filters. The possibility of these dyadic-integer coefficients based wavelet filters is explored in the field of image super-resolution using sub-pixel image registration. The two-resolution frames are registered at a specific shift from one another to restore the resolution lost by CCD array of camera. The discrete wavelet transform (DWT obtained from the designed coefficients is applied on these two low-resolution images to obtain the high resolution image. The developed approach is validated by comparing the quality metrics with existing filter banks.

  2. Conference on Commutative rings, integer-valued polynomials and polynomial functions

    CERN Document Server

    Frisch, Sophie; Glaz, Sarah; Commutative Algebra : Recent Advances in Commutative Rings, Integer-Valued Polynomials, and Polynomial Functions

    2014-01-01

    This volume presents a multi-dimensional collection of articles highlighting recent developments in commutative algebra. It also includes an extensive bibliography and lists a substantial number of open problems that point to future directions of research in the represented subfields. The contributions cover areas in commutative algebra that have flourished in the last few decades and are not yet well represented in book form. Highlighted topics and research methods include Noetherian and non- Noetherian ring theory as well as integer-valued polynomials and functions. Specific topics include: ·    Homological dimensions of Prüfer-like rings ·    Quasi complete rings ·    Total graphs of rings ·    Properties of prime ideals over various rings ·    Bases for integer-valued polynomials ·    Boolean subrings ·    The portable property of domains ·    Probabilistic topics in Intn(D) ·    Closure operations in Zariski-Riemann spaces of valuation domains ·    Stability of do...

  3. Heuristic Methods of Integer Programming and Its Applications in Economics

    Directory of Open Access Journals (Sweden)

    Dominika Crnjac Milić

    2010-12-01

    Full Text Available A short overview of the results related to integer programming is described in the introductory part of this paper. Furthermore, there is a list of literature related to this field. The main part of the paper analyses the Heuristic method which yields a very fast result without the use of significant mathematical tools.

  4. On the convex hull of the simple integer recourse objective function

    NARCIS (Netherlands)

    Klein Haneveld, Willem K.; Stougie, L.; van der Vlerk, Maarten H.

    1995-01-01

    We consider the objective function of a simple integer recourse problem with fixed technology matrix. Using properties of the expected value function, we prove a relation between the convex hull of this function and the expected value function of a continuous simple recourse program. We present an

  5. Multi-task feature selection in microarray data by binary integer programming.

    Science.gov (United States)

    Lan, Liang; Vucetic, Slobodan

    2013-12-20

    A major challenge in microarray classification is that the number of features is typically orders of magnitude larger than the number of examples. In this paper, we propose a novel feature filter algorithm to select the feature subset with maximal discriminative power and minimal redundancy by solving a quadratic objective function with binary integer constraints. To improve the computational efficiency, the binary integer constraints are relaxed and a low-rank approximation to the quadratic term is applied. The proposed feature selection algorithm was extended to solve multi-task microarray classification problems. We compared the single-task version of the proposed feature selection algorithm with 9 existing feature selection methods on 4 benchmark microarray data sets. The empirical results show that the proposed method achieved the most accurate predictions overall. We also evaluated the multi-task version of the proposed algorithm on 8 multi-task microarray datasets. The multi-task feature selection algorithm resulted in significantly higher accuracy than when using the single-task feature selection methods.

  6. Exact solutions to traffic density estimation problems involving the Lighthill-Whitham-Richards traffic flow model using mixed integer programming

    KAUST Repository

    Canepa, Edward S.; Claudel, Christian G.

    2012-01-01

    This article presents a new mixed integer programming formulation of the traffic density estimation problem in highways modeled by the Lighthill Whitham Richards equation. We first present an equivalent formulation of the problem using an Hamilton-Jacobi equation. Then, using a semi-analytic formula, we show that the model constraints resulting from the Hamilton-Jacobi equation result in linear constraints, albeit with unknown integers. We then pose the problem of estimating the density at the initial time given incomplete and inaccurate traffic data as a Mixed Integer Program. We then present a numerical implementation of the method using experimental flow and probe data obtained during Mobile Century experiment. © 2012 IEEE.

  7. Exact solutions to traffic density estimation problems involving the Lighthill-Whitham-Richards traffic flow model using mixed integer programming

    KAUST Repository

    Canepa, Edward S.

    2012-09-01

    This article presents a new mixed integer programming formulation of the traffic density estimation problem in highways modeled by the Lighthill Whitham Richards equation. We first present an equivalent formulation of the problem using an Hamilton-Jacobi equation. Then, using a semi-analytic formula, we show that the model constraints resulting from the Hamilton-Jacobi equation result in linear constraints, albeit with unknown integers. We then pose the problem of estimating the density at the initial time given incomplete and inaccurate traffic data as a Mixed Integer Program. We then present a numerical implementation of the method using experimental flow and probe data obtained during Mobile Century experiment. © 2012 IEEE.

  8. Monitoring with new microprocessor cuts cost of control system

    Energy Technology Data Exchange (ETDEWEB)

    Maehling, K L

    1985-08-01

    Programmable logic controllers (PLC) were originally developed as an alternative to relays, counters and timers for sequential and interlock control systems. They are now also used as part of distributive control systems which include diagnostic monitoring functions. The paper describes how a wiring scheme can be simplified and installation costs reduced by incorporating a newly-developed microprocessor-based monitoring device as an interface between remote devices and a PLC. An industrial application, the 400 tph coal handling facility at Bowater Southern Paper Co's mill in Calhoun, Tennessee, is considered. The control system design is outlined, the micro-monitor is described and the benefits of simplicity are stated in the paper.

  9. Microprocessor based beam loss monitor system for the AGS

    International Nuclear Information System (INIS)

    Witkover, R.L.

    1979-01-01

    An array of 120 long radiation monitors (LRM) have been installed around the AGS. Each monitor is an extended coaxial ion chamber, 5 meters long, made from hollow core coaxial transmission cable pressured with argon. The LRM's are each connected to a low current preamplifier and voltage-to-frequency converter (VFC). The digital output of each channel is fed to a 16 bit counter chip which bridges the bus of an 8085 microprocessor. This circuit is connected to the AGS PD-10 for data taking or may function as a stand-alone unit. Various operating modes can be selected for data readout. System design and operating performance are described

  10. Non-Porod scattering and non-integer scaling of resistance in rough films

    Science.gov (United States)

    Bupathy, Arunkumar; Verma, Rupesh; Banerjee, Varsha; Puri, Sanjay

    2017-04-01

    In many physical systems, films are rough due to the stochastic behavior of depositing particles. They are characterized by non-Porod power law decays in the structure factor S (k) . Theoretical studies predict anomalous diffusion in such morphologies, with important implications for diffusivity, conductivity, etc. We use the non-Porod decay to accurately determine the fractal properties of two prototypical nanoparticle films: (i) Palladium (Pd) and (ii) Cu2O. Using scaling arguments, we find that the resistance of rough films of lateral size L obeys a non-integer power law R ∼L-ζ , in contrast to integer power laws for compact structures. The exponent ζ is anisotropic. We confirm our predictions by re-analyzing experimental data from Cu2O nano-particle films. Our results are valuable for understanding recent experiments that report anisotropic electrical properties in (rough) thin films.

  11. Accurate Computation of Periodic Regions' Centers in the General M-Set with Integer Index Number

    Directory of Open Access Journals (Sweden)

    Wang Xingyuan

    2010-01-01

    Full Text Available This paper presents two methods for accurately computing the periodic regions' centers. One method fits for the general M-sets with integer index number, the other fits for the general M-sets with negative integer index number. Both methods improve the precision of computation by transforming the polynomial equations which determine the periodic regions' centers. We primarily discuss the general M-sets with negative integer index, and analyze the relationship between the number of periodic regions' centers on the principal symmetric axis and in the principal symmetric interior. We can get the centers' coordinates with at least 48 significant digits after the decimal point in both real and imaginary parts by applying the Newton's method to the transformed polynomial equation which determine the periodic regions' centers. In this paper, we list some centers' coordinates of general M-sets' k-periodic regions (k=3,4,5,6 for the index numbers α=−25,−24,…,−1 , all of which have highly numerical accuracy.

  12. Towards Merging Binary Integer Programming Techniques with Genetic Algorithms

    Directory of Open Access Journals (Sweden)

    Reza Zamani

    2017-01-01

    Full Text Available This paper presents a framework based on merging a binary integer programming technique with a genetic algorithm. The framework uses both lower and upper bounds to make the employed mathematical formulation of a problem as tight as possible. For problems whose optimal solutions cannot be obtained, precision is traded with speed through substituting the integrality constrains in a binary integer program with a penalty. In this way, instead of constraining a variable u with binary restriction, u is considered as real number between 0 and 1, with the penalty of Mu(1-u, in which M is a large number. Values not near to the boundary extremes of 0 and 1 make the component of Mu(1-u large and are expected to be avoided implicitly. The nonbinary values are then converted to priorities, and a genetic algorithm can use these priorities to fill its initial pool for producing feasible solutions. The presented framework can be applied to many combinatorial optimization problems. Here, a procedure based on this framework has been applied to a scheduling problem, and the results of computational experiments have been discussed, emphasizing the knowledge generated and inefficiencies to be circumvented with this framework in future.

  13. Integer Charge Transfer and Hybridization at an Organic Semiconductor/Conductive Oxide Interface

    KAUST Repository

    Gruenewald, Marco; Schirra, Laura K.; Winget, Paul; Kozlik, Michael; Ndione, Paul F.; Sigdel, Ajaya K.; Berry, Joseph J.; Forker, Roman; Bredas, Jean-Luc; Fritz, Torsten; Monti, Oliver L. A.

    2015-01-01

    with localized states (the shallow donors) in the substrate and charge back-donation, resulting in an effectively integer charge transfer across the interface. Charge transfer is thus not merely a question of locating the Fermi level above the PTCDA electron

  14. Applications exponential approximation by integer shifts of Gaussian functions

    Directory of Open Access Journals (Sweden)

    S. M. Sitnik

    2013-01-01

    Full Text Available In this paper we consider approximations of functions using integer shifts of Gaussians – quadratic exponentials. A method is proposed to find coefficients of node functions by solving linear systems of equations. The explicit formula for the determinant of the system is found, based on it solvability of linear system under consideration is proved and uniqueness of its solution. We compare results with known ones and briefly indicate applications to signal theory.

  15. Binary integer programming solution for troubleshooting with dependent actions

    Czech Academy of Sciences Publication Activity Database

    Lín, Václav

    2017-01-01

    Roč. 53, č. 3 (2017), s. 493-512 ISSN 0023-5954 R&D Projects: GA ČR GA13-20012S Institutional support: RVO:67985556 Keywords : binary integer programming * decision-theoretic troubleshooting Subject RIV: JD - Computer Applications, Robotics OBOR OECD: Computer sciences, information science, bioinformathics (hardware development to be 2.2, social aspect to be 5.8) Impact factor: 0.379, year: 2016 http://library.utia.cas.cz/separaty/2017/MTR/lin-0476547.pdf

  16. Sheaves in Elementary Mathematics: The case of positive integer numbers

    OpenAIRE

    Luna-Torres, Joaquin

    2015-01-01

    We aim to use the concept of sheaf to establish a link between certain aspects of the set of positive integers numbers, a topic corresponding to the elementary mathematics, and some fundamental ideas of contemporary mathematics. We hope that this type of approach helps the school students to restate some problems of elementary mathematics in an environment deeper and suitable for its study.

  17. MicroShell Minimalist Shell for Xilinx Microprocessors

    Science.gov (United States)

    Werne, Thomas A.

    2011-01-01

    MicroShell is a lightweight shell environment for engineers and software developers working with embedded microprocessors in Xilinx FPGAs. (MicroShell has also been successfully ported to run on ARM Cortex-M1 microprocessors in Actel ProASIC3 FPGAs, but without project-integration support.) Micro Shell decreases the time spent performing initial tests of field-programmable gate array (FPGA) designs, simplifies running customizable one-time-only experiments, and provides a familiar-feeling command-line interface. The program comes with a collection of useful functions and enables the designer to add an unlimited number of custom commands, which are callable from the command-line. The commands are parameterizable (using the C-based command-line parameter idiom), so the designer can use one function to exercise hardware with different values. Also, since many hardware peripherals instantiated in FPGAs have reasonably simple register-mapped I/O interfaces, the engineer can edit and view hardware parameter settings at any time without stopping the processor. MicroShell comes with a set of support scripts that interface seamlessly with Xilinx's EDK tool. Adding an instance of MicroShell to a project is as simple as marking a check box in a library configuration dialog box and specifying a software project directory. The support scripts then examine the hardware design, build design-specific functions, conditionally include processor-specific functions, and complete the compilation process. For code-size constrained designs, most of the stock functionality can be excluded from the compiled library. When all of the configurable options are removed from the binary, MicroShell has an unoptimized memory footprint of about 4.8 kB and a size-optimized footprint of about 2.3 kB. Since MicroShell allows unfettered access to all processor-accessible memory locations, it is possible to perform live patching on a running system. This can be useful, for instance, if a bug is

  18. Use of a Microprocessor to Implement an ADCCP Protocol (Federal Standard 1003).

    Science.gov (United States)

    1980-07-01

    results of other studies, to evaluate the operational and economic impact of incorporating various options in Federal Standard 1003. The effort...the LSI interface and the microprocessor; the LSI chip deposits bytes in its buffer as the producer, and the MPU reads this data as the consumer...on the interface between the MPU and the LSI protocol chip. This requires two main processes to be running at the same time--transmit and receive. The

  19. An n -material thresholding method for improving integerness of solutions in topology optimization

    International Nuclear Information System (INIS)

    Watts, Seth; Engineering); Tortorelli, Daniel A.; Engineering)

    2016-01-01

    It is common in solving topology optimization problems to replace an integer-valued characteristic function design field with the material volume fraction field, a real-valued approximation of the design field that permits "fictitious" mixtures of materials during intermediate iterations in the optimization process. This is reasonable so long as one can interpolate properties for such materials and so long as the final design is integer valued. For this purpose, we present a method for smoothly thresholding the volume fractions of an arbitrary number of material phases which specify the design. This method is trivial for two-material design problems, for example, the canonical topology design problem of specifying the presence or absence of a single material within a domain, but it becomes more complex when three or more materials are used, as often occurs in material design problems. We take advantage of the similarity in properties between the volume fractions and the barycentric coordinates on a simplex to derive a thresholding, method which is applicable to an arbitrary number of materials. As we show in a sensitivity analysis, this method has smooth derivatives, allowing it to be used in gradient-based optimization algorithms. Finally, we present results, which show synergistic effects when used with Solid Isotropic Material with Penalty and Rational Approximation of Material Properties material interpolation functions, popular methods of ensuring integerness of solutions.

  20. Integer programming of cement distribution by train

    Science.gov (United States)

    Indarsih

    2018-01-01

    Cement industry in Central Java distributes cement by train to meet daily demand in Yogyakarta and Central Java area. There are five destination stations. For each destination station, there is a warehouse to load cements. Decision maker of cement industry have a plan to redesign the infrastructure and transportation system. The aim is to determine how many locomotives, train wagons, and containers and how to arrange train schedules with subject to the delivery time. For this purposes, we consider an integer programming to minimize the total of operational cost. Further, we will discuss a case study and the solution the problem can be calculated by LINGO software.

  1. A microprocessor based mobile radiation survey system

    International Nuclear Information System (INIS)

    Gilbert, R.W.; McCormack, W.D.

    1984-01-01

    A microprocessor-based system has been designed and constructed to enhance the performance of routine radiation surveys on roads within the Hanford site. This device continually monitors system performance and output from four sodium iodide detectors mounted on the rear bumper of a 4-wheel drive truck. The gamma radiation count rate in counts-per-second is monitored, and a running average computed, with the results compared to predefined limits. If an abnormal instantaneous or average count rate is detected, an alarm is sounded with responsible data displayed on a liquid crystal panel in the cab of the vehicle. The system also has the capability to evaluate detector output using multiple time constants and to perform more complex tests and comparison of the data. Data can be archived for later analysis on conventional chart recorders or stored in digital form on magnetic tape or other digital storage media

  2. Low cost design of microprocessor EDAC circuit

    International Nuclear Information System (INIS)

    Hao Li; Yu Lixin; Peng Heping; Zhuang Wei

    2015-01-01

    An optimization method of error detection and correction (EDAC) circuit design is proposed. The method involves selecting or constructing EDAC codes of low cost hardware, associated with operation scheduling implementation based on 2-input XOR gates structure, and two actions for reducing hardware cells, which can reduce the delay penalties and area costs of the EDAC circuit effectively. The 32-bit EDAC circuit hardware implementation is selected to make a prototype, based on the 180 nm process. The delay penalties and area costs of the EDAC circuit are evaluated. Results show that the time penalty and area cost of the EDAC circuitries are affected with different parity-check matrices and different hardware implementation for the EDAC codes with the same capability of correction and detection code. This method can be used as a guide for low-cost radiation-hardened microprocessor EDAC circuit design and for more advanced technologies. (paper)

  3. Data acquisition and processing system of energy dispersive X-ray spectrometer with microprocessor

    International Nuclear Information System (INIS)

    Horkay, G.; Kis-Varga, M.; Lakatos, T.; Molnar, J.; Zsurzs, M.

    1984-01-01

    For quantitative analysis of chemical elements by the method of X-ray spectroscopy a multichannel analyzer on the base of minicomputer with the INTEL 8080 A microprocessor is developed. The data acquisition and data processing systems which comprise a central processor, memory unit, ADC and display are described. Major system subprograms are enumerated. An example of Pb concentration determinating in a bronze specimen is given

  4. CBLIB 2014: a benchmark library for conic mixed-integer and continuous optimization

    DEFF Research Database (Denmark)

    Friberg, Henrik Alsing

    2016-01-01

    The Conic Benchmark Library is an ongoing community-driven project aiming to challenge commercial and open source solvers on mainstream cone support. In this paper, 121 mixed-integer and continuous second-order cone problem instances have been selected from 11 categories as representative...

  5. Mixed-integer nonlinear approach for the optimal scheduling of a head-dependent hydro chain

    Energy Technology Data Exchange (ETDEWEB)

    Catalao, J.P.S.; Pousinho, H.M.I. [Department of Electromechanical Engineering, University of Beira Interior, R. Fonte do Lameiro, 6201-001 Covilha (Portugal); Mendes, V.M.F. [Department of Electrical Engineering and Automation, Instituto Superior de Engenharia de Lisboa, R. Conselheiro Emidio Navarro, 1950-062 Lisbon (Portugal)

    2010-08-15

    This paper is on the problem of short-term hydro scheduling (STHS), particularly concerning a head-dependent hydro chain. We propose a novel mixed-integer nonlinear programming (MINLP) approach, considering hydroelectric power generation as a nonlinear function of water discharge and of the head. As a new contribution to earlier studies, we model the on-off behavior of the hydro plants using integer variables, in order to avoid water discharges at forbidden areas. Thus, an enhanced STHS is provided due to the more realistic modeling presented in this paper. Our approach has been applied successfully to solve a test case based on one of the Portuguese cascaded hydro systems with a negligible computational time requirement. (author)

  6. Split diversity in constrained conservation prioritization using integer linear programming.

    Science.gov (United States)

    Chernomor, Olga; Minh, Bui Quang; Forest, Félix; Klaere, Steffen; Ingram, Travis; Henzinger, Monika; von Haeseler, Arndt

    2015-01-01

    Phylogenetic diversity (PD) is a measure of biodiversity based on the evolutionary history of species. Here, we discuss several optimization problems related to the use of PD, and the more general measure split diversity (SD), in conservation prioritization.Depending on the conservation goal and the information available about species, one can construct optimization routines that incorporate various conservation constraints. We demonstrate how this information can be used to select sets of species for conservation action. Specifically, we discuss the use of species' geographic distributions, the choice of candidates under economic pressure, and the use of predator-prey interactions between the species in a community to define viability constraints.Despite such optimization problems falling into the area of NP hard problems, it is possible to solve them in a reasonable amount of time using integer programming. We apply integer linear programming to a variety of models for conservation prioritization that incorporate the SD measure.We exemplarily show the results for two data sets: the Cape region of South Africa and a Caribbean coral reef community. Finally, we provide user-friendly software at http://www.cibiv.at/software/pda.

  7. Mixed integer linear programming model for dynamic supplier selection problem considering discounts

    Directory of Open Access Journals (Sweden)

    Adi Wicaksono Purnawan

    2018-01-01

    Full Text Available Supplier selection is one of the most important elements in supply chain management. This function involves evaluation of many factors such as, material costs, transportation costs, quality, delays, supplier capacity, storage capacity and others. Each of these factors varies with time, therefore, supplier identified for one period is not necessarily be same for the next period to supply the same product. So, mixed integer linear programming (MILP was developed to overcome the dynamic supplier selection problem (DSSP. In this paper, a mixed integer linear programming model is built to solve the lot-sizing problem with multiple suppliers, multiple periods, multiple products and quantity discounts. The buyer has to make a decision for some products which will be supplied by some suppliers for some periods cosidering by discount. To validate the MILP model with randomly generated data. The model is solved by Lingo 16.

  8. Raw material utilization in slaughterhouses – optimizing expected profit using mixed-integer programming

    DEFF Research Database (Denmark)

    Jensen, Toke Koldborg; Kjærsgaard, Niels Christian

    Slaughterhouses are major players in the pork supply chain, and supply and demand must be matched in order to generate the highest profit. In particular, carcasses must be sorted in order to produce the “right” final products from the “right” carcasses. We develop a mixed-integer programming (MIP) ...... at slaughterhouses. Finally, we comment on the expected effect of variations in the raw material supply and the demand as well as future research concerning joint modelling of supply chain aspects.......Slaughterhouses are major players in the pork supply chain, and supply and demand must be matched in order to generate the highest profit. In particular, carcasses must be sorted in order to produce the “right” final products from the “right” carcasses. We develop a mixed-integer programming (MIP...

  9. A hybrid Constraint Programming/Mixed Integer Programming framework for the preventive signaling maintenance crew scheduling problem

    DEFF Research Database (Denmark)

    Pour, Shahrzad M.; Drake, John H.; Ejlertsen, Lena Secher

    2017-01-01

    A railway signaling system is a complex and interdependent system which should ensure the safe operation of trains. We introduce and address a mixed integer optimisation model for the preventive signal maintenance crew scheduling problem in the Danish railway system. The problem contains many...... to feed as ‘warm start’ solutions to a Mixed Integer Programming (MIP) solver for further optimisation. We apply the CP/MIP framework to a section of the Danish rail network and benchmark our results against both direct application of a MIP solver and modelling the problem as a Constraint Optimisation...

  10. Polynomial selection in number field sieve for integer factorization

    Directory of Open Access Journals (Sweden)

    Gireesh Pandey

    2016-09-01

    Full Text Available The general number field sieve (GNFS is the fastest algorithm for factoring large composite integers which is made up by two prime numbers. Polynomial selection is an important step of GNFS. The asymptotic runtime depends on choice of good polynomial pairs. In this paper, we present polynomial selection algorithm that will be modelled with size and root properties. The correlations between polynomial coefficient and number of relations have been explored with experimental findings.

  11. Relaxation and decomposition methods for mixed integer nonlinear programming

    CERN Document Server

    Nowak, Ivo; Bank, RE

    2005-01-01

    This book presents a comprehensive description of efficient methods for solving nonconvex mixed integer nonlinear programs, including several numerical and theoretical results, which are presented here for the first time. It contains many illustrations and an up-to-date bibliography. Because on the emphasis on practical methods, as well as the introduction into the basic theory, the book is accessible to a wide audience. It can be used both as a research and as a graduate text.

  12. Multiplicity of summands in the random partitions of an integer

    Indian Academy of Sciences (India)

    We prove that the probability that there is a summand of multiplicity j in any randomly chosen partition or composition of an integer n goes to zero asymptotically with n provided j is larger than a critical value. As a corollary, we strengthen a result due to Erdös and Lehner (Duke. Math. J. 8 (1941) 335–345) that concerns the ...

  13. Microprocessor-controlled system for automatic acquisition of potentiometric data and their non-linear least-squares fit in equilibrium studies.

    Science.gov (United States)

    Gampp, H; Maeder, M; Zuberbühler, A D; Kaden, T A

    1980-06-01

    A microprocessor-controlled potentiometric titration apparatus for equilibrium studies is described. The microprocessor controls the stepwise addition of reagent, monitors the pH until it becomes constant and stores the constant value. The data are recorded on magnetic tape by a cassette recorder with an RS232 input-output interface. A non-linear least-squares program based on Marquardt's modification of the Newton-Gauss method is discussed and its performance in the calculation of equilibrium constants is exemplified. An HP 9821 desk-top computer accepts the data from the magnetic tape recorder. In addition to a fully automatic fitting procedure, the program allows manual adjustment of the parameters. Three examples are discussed with regard to performance and reproducibility.

  14. INVESTIGATION OF MICROPROCESSOR CURRENT PROTECTION LINES WITH IMPROVED INDICES OF TECHNICAL PERFECTION

    Directory of Open Access Journals (Sweden)

    E. V. Buloichyk

    2014-01-01

    Full Text Available Technical perfection improvement of microprocessor current protection of distribution networks lines is provided by introduction of asymmetrical fault mode determination and fault location functions in the algorithm of its functioning. As a result of computing experiment the basic indices of the technical perfection of current protection have been obtained in the paper. The paper proves high efficiency of the proposed methods that ensure selective and proper operation in the different modes of the controlled line.

  15. Learning decision trees with flexible constraints and objectives using integer optimization

    NARCIS (Netherlands)

    Verwer, S.; Zhang, Y.

    2017-01-01

    We encode the problem of learning the optimal decision tree of a given depth as an integer optimization problem. We show experimentally that our method (DTIP) can be used to learn good trees up to depth 5 from data sets of size up to 1000. In addition to being efficient, our new formulation allows

  16. An Improved Method for Solving Multiobjective Integer Linear Fractional Programming Problem

    Directory of Open Access Journals (Sweden)

    Meriem Ait Mehdi

    2014-01-01

    Full Text Available We describe an improvement of Chergui and Moulaï’s method (2008 that generates the whole efficient set of a multiobjective integer linear fractional program based on the branch and cut concept. The general step of this method consists in optimizing (maximizing without loss of generality one of the fractional objective functions over a subset of the original continuous feasible set; then if necessary, a branching process is carried out until obtaining an integer feasible solution. At this stage, an efficient cut is built from the criteria’s growth directions in order to discard a part of the feasible domain containing only nonefficient solutions. Our contribution concerns firstly the optimization process where a linear program that we define later will be solved at each step rather than a fractional linear program. Secondly, local ideal and nadir points will be used as bounds to prune some branches leading to nonefficient solutions. The computational experiments show that the new method outperforms the old one in all the treated instances.

  17. Determining on-fault earthquake magnitude distributions from integer programming

    Science.gov (United States)

    Geist, Eric L.; Parsons, Thomas E.

    2018-01-01

    Earthquake magnitude distributions among faults within a fault system are determined from regional seismicity and fault slip rates using binary integer programming. A synthetic earthquake catalog (i.e., list of randomly sampled magnitudes) that spans millennia is first formed, assuming that regional seismicity follows a Gutenberg-Richter relation. Each earthquake in the synthetic catalog can occur on any fault and at any location. The objective is to minimize misfits in the target slip rate for each fault, where slip for each earthquake is scaled from its magnitude. The decision vector consists of binary variables indicating which locations are optimal among all possibilities. Uncertainty estimates in fault slip rates provide explicit upper and lower bounding constraints to the problem. An implicit constraint is that an earthquake can only be located on a fault if it is long enough to contain that earthquake. A general mixed-integer programming solver, consisting of a number of different algorithms, is used to determine the optimal decision vector. A case study is presented for the State of California, where a 4 kyr synthetic earthquake catalog is created and faults with slip ≥3 mm/yr are considered, resulting in >106  variables. The optimal magnitude distributions for each of the faults in the system span a rich diversity of shapes, ranging from characteristic to power-law distributions. 

  18. A fail-safe microprocessor-based protection system utilising low-level multiplexed sensor signals

    International Nuclear Information System (INIS)

    Orme, S.; Evans, N.J.; Wey, B.O.

    1985-01-01

    The paper describes a fail-safe reactor protection system, called the individual sub-assembly temperature monitoring system (ISAT). It is being developed for the commercial demonstration fast reactor. The system incorporates recent advances in solid-state electronics and in particular microprocessors to implement time-shared data acquisition techniques to obtain and process data from around 1400 fast response thermocouples whilst meeting the required levels for reliability and availability. (author)

  19. LOW COMPLEXITY HYBRID LOSSY TO LOSSLESS IMAGE CODER WITH COMBINED ORTHOGONAL POLYNOMIALS TRANSFORM AND INTEGER WAVELET TRANSFORM

    Directory of Open Access Journals (Sweden)

    R. Krishnamoorthy

    2012-05-01

    Full Text Available In this paper, a new lossy to lossless image coding scheme combined with Orthogonal Polynomials Transform and Integer Wavelet Transform is proposed. The Lifting Scheme based Integer Wavelet Transform (LS-IWT is first applied on the image in order to reduce the blocking artifact and memory demand. The Embedded Zero tree Wavelet (EZW subband coding algorithm is used in this proposed work for progressive image coding which achieves efficient bit rate reduction. The computational complexity of lower subband coding of EZW algorithm is reduced in this proposed work with a new integer based Orthogonal Polynomials transform coding. The normalization and mapping are done on the subband of the image for exploiting the subjective redundancy and the zero tree structure is obtained for EZW coding and so the computation complexity is greatly reduced in this proposed work. The experimental results of the proposed technique also show that the efficient bit rate reduction is achieved for both lossy and lossless compression when compared with existing techniques.

  20. A binary mixed integer coded genetic algorithm for multi-objective optimization of nuclear research reactor fuel reloading

    Energy Technology Data Exchange (ETDEWEB)

    Binh, Do Quang [University of Technical Education Ho Chi Minh City (Viet Nam); Huy, Ngo Quang [University of Industry Ho Chi Minh City (Viet Nam); Hai, Nguyen Hoang [Centre for Research and Development of Radiation Technology, Ho Chi Minh City (Viet Nam)

    2014-12-15

    This paper presents a new approach based on a binary mixed integer coded genetic algorithm in conjunction with the weighted sum method for multi-objective optimization of fuel loading patterns for nuclear research reactors. The proposed genetic algorithm works with two types of chromosomes: binary and integer chromosomes, and consists of two types of genetic operators: one working on binary chromosomes and the other working on integer chromosomes. The algorithm automatically searches for the most suitable weighting factors of the weighting function and the optimal fuel loading patterns in the search process. Illustrative calculations are implemented for a research reactor type TRIGA MARK II loaded with the Russian VVR-M2 fuels. Results show that the proposed genetic algorithm can successfully search for both the best weighting factors and a set of approximate optimal loading patterns that maximize the effective multiplication factor and minimize the power peaking factor while satisfying operational and safety constraints for the research reactor.

  1. A binary mixed integer coded genetic algorithm for multi-objective optimization of nuclear research reactor fuel reloading

    International Nuclear Information System (INIS)

    Binh, Do Quang; Huy, Ngo Quang; Hai, Nguyen Hoang

    2014-01-01

    This paper presents a new approach based on a binary mixed integer coded genetic algorithm in conjunction with the weighted sum method for multi-objective optimization of fuel loading patterns for nuclear research reactors. The proposed genetic algorithm works with two types of chromosomes: binary and integer chromosomes, and consists of two types of genetic operators: one working on binary chromosomes and the other working on integer chromosomes. The algorithm automatically searches for the most suitable weighting factors of the weighting function and the optimal fuel loading patterns in the search process. Illustrative calculations are implemented for a research reactor type TRIGA MARK II loaded with the Russian VVR-M2 fuels. Results show that the proposed genetic algorithm can successfully search for both the best weighting factors and a set of approximate optimal loading patterns that maximize the effective multiplication factor and minimize the power peaking factor while satisfying operational and safety constraints for the research reactor.

  2. A Method of Determination of an Acquisition Program in Order to Maximize the Total Utility Using Linear Programming in Integer Numbers

    Directory of Open Access Journals (Sweden)

    Alin Cristian Ioan

    2010-03-01

    Full Text Available This paper solves in a different way the problem of maximization of the total utility using the linear programming in integer numbers. The author uses the diofantic equations (equations in integers numbers and after a decomposing in different cases, he obtains the maximal utility.

  3. Trust versus confidence: Microprocessors and personnel monitoring

    International Nuclear Information System (INIS)

    Chiaro, P.J. Jr.

    1993-01-01

    Due to recent technological advances, substantial improvements have been made in personnel contamination monitoring. In all likelihood, these advances will close out the days of manually frisking personnel for radioactive contamination. Unfortunately, as microprocessor-based monitors become more widely used, not only at commercial power reactors but also at government facilities, questions concerning their trustworthiness arise. Algorithms make decisions that were previously made by technicians. Trust is placed not in technicians but in machines. In doing this it is assumed that the machine never misses. Inevitably, this trust drops, due largely to ''false alarms''. This is especially true when monitoring for alpha contamination. What is a ''false alarm''? Do these machines and their algorithms that we put our trust in make mistakes? An analysis was performed on half-body and hand-and-foot monitors at Oak Ridge National Laboratory (ORNL) in order to justify the suggested confidence level used for alarm point determination. Sources used in this analysis had activities approximating ORNL's contamination limits

  4. Trust versus confidence: Microprocessors and personnel monitoring

    International Nuclear Information System (INIS)

    Chiaro, P.J. Jr.

    1994-01-01

    Due to recent technological advances, substantial improvements have been made in personnel contamination monitoring. In all likelihood, these advances will close out the days of manually frisking personnel for radioactive contamination. Unfortunately, as microprocessor-based monitors become more widely used, not only at commercial power reactors but also at government facilities, questions concerning their trustworthiness arise. Algorithms make decisions that were previously made by technicians. Trust is placed not in technicians but in machines. In doing this it is assumed that the machine never misses. Inevitably, this trust drops, due largely to ''false alarms''. This is especially true when monitoring for alpha contamination. What is a ''false alarm''? Do these machines and their algorithms that they put their trust in make mistakes? An analysis was performed on half-body and hand-and-foot monitors at Oak Ridge National Laboratory (ORNL) in order to justify the suggested confidence level used for alarm point determination. Sources used in this analysis had activities approximating ORNL's contamination limits

  5. Integer Charge Transfer and Hybridization at an Organic Semiconductor/Conductive Oxide Interface

    KAUST Repository

    Gruenewald, Marco

    2015-02-11

    We investigate the prototypical hybrid interface formed between PTCDA and conductive n-doped ZnO films by means of complementary optical and electronic spectroscopic techniques. We demonstrate that shallow donors in the vicinity of the ZnO surface cause an integer charge transfer to PTCDA, which is clearly restricted to the first monolayer. By means of DFT calculations, we show that the experimental signatures of the anionic PTCDA species can be understood in terms of strong hybridization with localized states (the shallow donors) in the substrate and charge back-donation, resulting in an effectively integer charge transfer across the interface. Charge transfer is thus not merely a question of locating the Fermi level above the PTCDA electron-transport level but requires rather an atomistic understanding of the interfacial interactions. The study reveals that defect sites and dopants can have a significant influence on the specifics of interfacial coupling and thus on carrier injection or extraction.

  6. Testosterone metabolism in the estuarine mysid Neomysis integer (Crustacea; Mysidacea) following tributyltin exposure.

    Science.gov (United States)

    Verslycke, Tim; Poelmans, Sofie; De Wasch, Katia; Vercauteren, Jordy; Devos, Christophe; Moens, Luc; Sandra, Patrick; De Brabander, Hubert F; Janssen, Colin R

    2003-09-01

    Current evidence suggests that the biocide tributyltin (TBT) causes the development of imposex, a state of pseudohermaphrodism in which females exhibit functional secondary male characteristics, by altering the biotransformation or elimination of testosterone. Imposex in gastropods following TBT exposure is the most complete example of the effects of an endocrine disrupter on marine invertebrates. Previous studies have demonstrated that the estuarine mysid Neomysis integer converts testosterone into multiple polar and nonpolar metabolites resulting from both phase I and phase II biotransformations. In this study, the effects of TBT chloride (TBTCl) on the phase I and II testosterone metabolism of N. integer were evaluated. The TBTCl was highly toxic to N. integer (96-h median lethal concentration [LC50] of 164 ng/L). To assess the effects on testosterone metabolism, mysids were exposed for 96 h to different concentrations of TBTCl (control, 10, 100, and 1,000 ng/L), and testosterone elimination as polar hydroxylated, nonpolar oxido-reduced, and glucose- and sulfate-conjugated metabolites was examined. The TBTCl differentially affected testosterone metabolism. The effect of TBTCl on phase I metabolism was unclear and has been shown to vary among species, likely depending on the inducibility or presence of certain P450 isozyme families. Reductase activity and metabolic androgenization were induced in the 10-ng/L treatment, whereas higher concentrations resulted in a reduction of sulfate conjugation. The exact mechanisms underlying TBT-induced imposex and alterations in the steroid metabolism need to be further elucidated.

  7. A Mixed Integer Programming for Port Anzali Development Plan

    OpenAIRE

    Mahdieh Allahviranloo

    2009-01-01

    This paper introduces a mixed integer programming model to find the optimum development plan for port Anzali. The model minimizes total system costs taking into account both port infrastructure costs and shipping costs. Due to the multipurpose function of the port, the model consists of 1020 decision variables and 2490 constraints. Results of the model determine the optimum number of berths that should be constructed in each period and for each type of cargo. In addition to, the results of se...

  8. Electric protections based in microprocessors in power plants; Protecciones electricas basadas en microprocesadores en centrales generadoras

    Energy Technology Data Exchange (ETDEWEB)

    Libreros, Domitilo; Castanon Jimenez, Jose Ismael [Instituto de Investigaciones Electricas, Cuernavaca (Mexico)

    1988-12-31

    This article is centered around the substitution of the conventional electric protections of a power plant in connection type unit for protections based in microprocessors. A general model of conventional protection of a power plant is described and the number of analogic and digital signals that intervene in that model are quantified. A model is setup for power plant protection with microprocessors, analyzing each one of the modules that would form it. Finally, the algorithms to carry on such protection are presented. [Espanol] Este articulo se centra en torno a la sustitucion de las protecciones electricas convencionales de una central generadora en conexion tipo unidad por protecciones basadas en microprocesadores. Se describe el modelo general de proteccion convencional de una central generadora y se cuantifica el numero de senales analogicas y digitales que interviene en dicho modelo. Se propone un modelo para proteccion de centrales generadoras mediante microprocesadores, analizandose cada uno de los modulos que lo conformarian. Finalmente, se presentan los algoritmos para realizar dicha proteccion.

  9. Electric protections based in microprocessors in power plants; Protecciones electricas basadas en microprocesadores en centrales generadoras

    Energy Technology Data Exchange (ETDEWEB)

    Libreros, Domitilo; Castanon Jimenez, Jose Ismael [Instituto de Investigaciones Electricas, Cuernavaca (Mexico)

    1987-12-31

    This article is centered around the substitution of the conventional electric protections of a power plant in connection type unit for protections based in microprocessors. A general model of conventional protection of a power plant is described and the number of analogic and digital signals that intervene in that model are quantified. A model is setup for power plant protection with microprocessors, analyzing each one of the modules that would form it. Finally, the algorithms to carry on such protection are presented. [Espanol] Este articulo se centra en torno a la sustitucion de las protecciones electricas convencionales de una central generadora en conexion tipo unidad por protecciones basadas en microprocesadores. Se describe el modelo general de proteccion convencional de una central generadora y se cuantifica el numero de senales analogicas y digitales que interviene en dicho modelo. Se propone un modelo para proteccion de centrales generadoras mediante microprocesadores, analizandose cada uno de los modulos que lo conformarian. Finalmente, se presentan los algoritmos para realizar dicha proteccion.

  10. Proceedings of the meeting on applications of microprocessors in accelerator controls and physics experiments, Tsukuba, March 15, 1978

    International Nuclear Information System (INIS)

    Shibata, Shinkichi; Katoh, Tadahiko

    1978-05-01

    The microprocessor was first made public in 1971. In the ensuing few years, its performance has risen, cost lowered and interface more in IC, so it is now easily incorporated in instrumentation and control. Since it is used as electronic component unlike the case of a minicomputer, it has so much larger influence. It differs from the conventional electronic components in that software is required. In the National Laboratory for High Energy Physics, microprocessors are used for performance improvements of the measuring and control instruments and for labor saving. For new component not to induce new other problems, support system and standardization are proceeding for utilization development etc. The present meeting was intended for discussions by people in the field of usage, planning, and means of joint uses for software and hardware. (Mori, K.)

  11. Complex dynamics of the integer quantum Hall effect

    International Nuclear Information System (INIS)

    Trugman, S.A.; Nicopoulos, V.N.; Florida Univ., Gainesville, FL

    1991-01-01

    We investigate both classical and quantum potential scattering in two dimensions in a magnetic field, with applications to the integer quantum Hall effect. Classical scattering is complex, due in one case to the approach of scattering states to an infinite number of bound states. We show that bound states are generic, and occur for all but extremely smooth scattering potentials (|rvec ∇| → 0). Quantum scattering follows the classical behavior rather closely, exhibiting sharp resonances rather than classical bound states. Extended scatterers provide an explanation for the breakdown of the QHE at a comparatively small Hall voltage. 16 refs., 14 figs

  12. Microprocessor-based data acquisition system for extensive air shower studies

    International Nuclear Information System (INIS)

    Mazumdar, G.K.D.; Kalita, P.M.; Bordoloi, T.C.; Pathak, K.M.

    1989-01-01

    Studies on electromagnetic radiation from large extensive air showers (Esub(p) ≥> 10 16 eV) have been of recent importance in the investigation of properties of EAS in problems involving mass composition, arrival time, radio emission. Cerenkov radiation etc. Such studies need fast electronic circuitry preferably for digitisation. A microprocessor based data acquisition system having scintillation counters, PA, MA, Pd, S/H and control unit has been developed and is being used in the EAS studies at Gauhati University Cosmic Ray Research Laboratory. Description of the different units along with their functioning and method of standardisation is presented in this paper. (author). 3 figs

  13. A microprocessor based exchange data collection and analysis terminal application to A.E.A. PABX

    International Nuclear Information System (INIS)

    Mohammed, F.A.; Ezzat, A.K.; Ayad, N.M.A.

    1978-01-01

    The traffic data acquisition and analysis comprises micro-processer based data collection, terminals (MBDCT) and a centralized computer. The MBDCT's can communicate with the computer through a data set system. Each (MBDCT) remote terminal is connected to about two hundreds subscriber lines. It scans the trunk lines to detect the on/off hook states and to calculate the call time and the called number. If the called subscriber is not from the 200 local lines, its status should be detected though the computer communication with the two terminals. The data collected by the terminal can be slightly analysed using the microprocessor programming capability. More-over short quality performance reports can be printed on a printer interfaced to the microprocessor. Also, data can be transmitted to the central computer for further data traffic investigation. The analysis outcome can be utilized for telephone line maintenance and reorganization. This report is concerned with the terminal details as applied to the A-E-A. PABX. It consists mainly of five external lines and about 300 internal lines

  14. Positive integer solutions of the diophantine equation x2 −Lnxy +(−1 ...

    Indian Academy of Sciences (India)

    ny2 = ±5r when the equation has positive integer solutions. Keywords. Fibonacci numbers; Lucas numbers; diophantine equations. Mathematics Subject Classification. 11B37, 11B39. 1. Introduction. The Fibonacci sequence {Fn} is defined by F0 ...

  15. On Secure Two-Party Integer Division

    DEFF Research Database (Denmark)

    Dahl, Morten; Ning, Chao; Toft, Tomas

    2012-01-01

    {\\mathcal{O}}(\\ell)$ arithmetic operations on encrypted values (secure addition and multiplication) in $\\ensuremath{\\mathcal{O}}(1)$ rounds. This is the most efficient constant-rounds solution to date. The second protocol requires only $\\ensuremath{\\mathcal{O}} \\left( (\\log^2 \\ell)(\\kappa + \\operatorname{loglog} \\ell) \\right......We consider the problem of secure integer division: given two Paillier encryptions of ℓ-bit values n and d, determine an encryption of $\\lfloor \\frac{n}{d}\\rfloor$ without leaking any information about n or d. We propose two new protocols solving this problem. The first requires $\\ensuremath......)$ arithmetic operations in $\\ensuremath{\\mathcal{O}}(\\log^2 \\ell)$ rounds, where κ is a correctness parameter. Theoretically, this is the most efficient solution to date as all previous solutions have required Ω(ℓ) operations. Indeed, the fact that an o(ℓ) solution is possible at all is highly surprising....

  16. The Potential of the Cell Processor for Scientific Computing

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Samuel; Shalf, John; Oliker, Leonid; Husbands, Parry; Kamil, Shoaib; Yelick, Katherine

    2005-10-14

    The slowing pace of commodity microprocessor performance improvements combined with ever-increasing chip power demands has become of utmost concern to computational scientists. As a result, the high performance computing community is examining alternative architectures that address the limitations of modern cache-based designs. In this work, we examine the potential of the using the forth coming STI Cell processor as a building block for future high-end computing systems. Our work contains several novel contributions. We are the first to present quantitative Cell performance data on scientific kernels and show direct comparisons against leading superscalar (AMD Opteron), VLIW (IntelItanium2), and vector (Cray X1) architectures. Since neither Cell hardware nor cycle-accurate simulators are currently publicly available, we develop both analytical models and simulators to predict kernel performance. Our work also explores the complexity of mapping several important scientific algorithms onto the Cells unique architecture. Additionally, we propose modest microarchitectural modifications that could significantly increase the efficiency of double-precision calculations. Overall results demonstrate the tremendous potential of the Cell architecture for scientific computations in terms of both raw performance and power efficiency.

  17. Microprocessor-controlled wide-range streak camera

    Science.gov (United States)

    Lewis, Amy E.; Hollabaugh, Craig

    2006-08-01

    Bechtel Nevada/NSTec recently announced deployment of their fifth generation streak camera. This camera incorporates many advanced features beyond those currently available for streak cameras. The arc-resistant driver includes a trigger lockout mechanism, actively monitors input trigger levels, and incorporates a high-voltage fault interrupter for user safety and tube protection. The camera is completely modular and may deflect over a variable full-sweep time of 15 nanoseconds to 500 microseconds. The camera design is compatible with both large- and small-format commercial tubes from several vendors. The embedded microprocessor offers Ethernet connectivity, and XML [extensible markup language]-based configuration management with non-volatile parameter storage using flash-based storage media. The camera's user interface is platform-independent (Microsoft Windows, Unix, Linux, Macintosh OSX) and is accessible using an AJAX [asynchronous Javascript and XML]-equipped modem browser, such as Internet Explorer 6, Firefox, or Safari. User interface operation requires no installation of client software or browser plug-in technology. Automation software can also access the camera configuration and control using HTTP [hypertext transfer protocol]. The software architecture supports multiple-simultaneous clients, multiple cameras, and multiple module access with a standard browser. The entire user interface can be customized.

  18. Microprocessor-controlled, wide-range streak camera

    International Nuclear Information System (INIS)

    Amy E. Lewis; Craig Hollabaugh

    2006-01-01

    Bechtel Nevada/NSTec recently announced deployment of their fifth generation streak camera. This camera incorporates many advanced features beyond those currently available for streak cameras. The arc-resistant driver includes a trigger lockout mechanism, actively monitors input trigger levels, and incorporates a high-voltage fault interrupter for user safety and tube protection. The camera is completely modular and may deflect over a variable full-sweep time of 15 nanoseconds to 500 microseconds. The camera design is compatible with both large- and small-format commercial tubes from several vendors. The embedded microprocessor offers Ethernet connectivity, and XML [extensible markup language]-based configuration management with non-volatile parameter storage using flash-based storage media. The camera's user interface is platform-independent (Microsoft Windows, Unix, Linux, Macintosh OSX) and is accessible using an AJAX [asynchronous Javascript and XML]-equipped modem browser, such as Internet Explorer 6, Firefox, or Safari. User interface operation requires no installation of client software or browser plug-in technology. Automation software can also access the camera configuration and control using HTTP [hypertext transfer protocol]. The software architecture supports multiple-simultaneous clients, multiple cameras, and multiple module access with a standard browser. The entire user interface can be customized

  19. A microprocessor-based gamma-ray spectrometer with gain stabilized single-channel analyzers

    International Nuclear Information System (INIS)

    Borg, P.J.; Huppert, P.; Phillips, P.L.; Waddington, P.J.

    1985-01-01

    The design and performance of a self-contained microprocessor-based gamma-ray spectrometer for use in geophysical measurements using nuclear techniques is described. The instrument uses single-channel analyzers which are inherently simpler and faster than the Wilkinson or successive approximation ADC. A novel technique of gain stabilization together with a simple means of energy calibration has been developed. The modular design of the equipment makes it suitable for multidetector usage, required in a number of nucleonic gauges for the quantitative measurement of chemical constituents. (orig.)

  20. ITRA 084 - a microprocessor controlled rapid analyzer in mining and metallurgy

    International Nuclear Information System (INIS)

    Kliem, V.; Kreher, M.; Boy, N.

    1986-01-01

    A new rapid analyzer of the ITRA series has been developed at the Freiberg Research Institute of Non-Ferrous Metals for single and multi-element analysis in mining and non-ferrous metallurgy. INTRA-08 represents an efficient microprocessor-controlled on-line X-ray fluorescence analyzer based on the main principles utilized with success hitherto in device engineering (isotope excitation, four-channel modification, balance filter method). A U880 single-chip microcomputer provides the central control of the device including the execution of an extensive program for the matrix correction. The efficiency of the analyzer is demonstrated taking measured values as a basis

  1. Wide-bandwidth low-voltage PLL for powerPC(sup TM) microprocessors

    Science.gov (United States)

    Alvarez, Jose; Sanchez, Hector; Gerosa, Gianfranco; Countryman, Roger

    1995-04-01

    A 3.3 V Phase-Locked-Loop (PLL) clock synthesizer implemented in 0.5 micron CMOS technology is described. The PLL supports internal to external clock frequency ratios of 1, 1.5, 2, 3, and 4 as well as numerous static power down modes for PowerPC(sup TM) microprocessors. The CPU clock lock range spans from 6 to 175 MHz. Lock times below 15 mu s, PLL power dissipation below 10mW as well as phase error and jitter below +/- 100 ps have been measured. The total area of the PLL is 0.52 mm(exp 2).

  2. Physical Applications of a Simple Approximation of Bessel Functions of Integer Order

    Science.gov (United States)

    Barsan, V.; Cojocaru, S.

    2007-01-01

    Applications of a simple approximation of Bessel functions of integer order, in terms of trigonometric functions, are discussed for several examples from electromagnetism and optics. The method may be applied in the intermediate regime, bridging the "small values regime" and the "asymptotic" one, and covering, in this way, an area of great…

  3. An improved exploratory search technique for pure integer linear programming problems

    Science.gov (United States)

    Fogle, F. R.

    1990-01-01

    The development is documented of a heuristic method for the solution of pure integer linear programming problems. The procedure draws its methodology from the ideas of Hooke and Jeeves type 1 and 2 exploratory searches, greedy procedures, and neighborhood searches. It uses an efficient rounding method to obtain its first feasible integer point from the optimal continuous solution obtained via the simplex method. Since this method is based entirely on simple addition or subtraction of one to each variable of a point in n-space and the subsequent comparison of candidate solutions to a given set of constraints, it facilitates significant complexity improvements over existing techniques. It also obtains the same optimal solution found by the branch-and-bound technique in 44 of 45 small to moderate size test problems. Two example problems are worked in detail to show the inner workings of the method. Furthermore, using an established weighted scheme for comparing computational effort involved in an algorithm, a comparison of this algorithm is made to the more established and rigorous branch-and-bound method. A computer implementation of the procedure, in PC compatible Pascal, is also presented and discussed.

  4. TRACKING SIMULATIONS NEAR HALF-INTEGER RESONANCE AT PEP-II

    International Nuclear Information System (INIS)

    Nosochkov, Yuri

    2003-01-01

    Beam-beam simulations predict that PEP-II luminosity can be increased by operating the horizontal betatron tune near and above a half-integer resonance. However, effects of the resonance and its synchrotron sidebands significantly enhance betatron and chromatic perturbations which tend to reduce dynamic aperture. In the study, chromatic variation of horizontal tune near the resonance was minimized by optimizing local sextupoles in the Interaction Region. Dynamic aperture was calculated using tracking simulations in LEGO code. Dependence of dynamic aperture on the residual orbit, dispersion and β distortion after correction was investigated

  5. Integer channels in nonuniform non-equilibrium 2D systems

    Science.gov (United States)

    Shikin, V.

    2018-01-01

    We discuss the non-equilibrium properties of integer channels in nonuniform 2D electron (hole) systems in the presence of a strong magnetic field. The results are applied to a qualitative explanation of the Corbino disk current-voltage characteristics (IVC) in the quantum Hall effect (QHE) regime. Special consideration is paid to the so-called "QHE breakdown" effect, which is readily observed in both the Hall bar and Corbino geometries of the tested cells. The QHE breakdown is especially evident in the Corbino samples, allowing for a more in-depth study of these effects.

  6. Design of problem-specific evolutionary algorithm/mixed-integer programming hybrids: two-stage stochastic integer programming applied to chemical batch scheduling

    Science.gov (United States)

    Urselmann, Maren; Emmerich, Michael T. M.; Till, Jochen; Sand, Guido; Engell, Sebastian

    2007-07-01

    Engineering optimization often deals with large, mixed-integer search spaces with a rigid structure due to the presence of a large number of constraints. Metaheuristics, such as evolutionary algorithms (EAs), are frequently suggested as solution algorithms in such cases. In order to exploit the full potential of these algorithms, it is important to choose an adequate representation of the search space and to integrate expert-knowledge into the stochastic search operators, without adding unnecessary bias to the search. Moreover, hybridisation with mathematical programming techniques such as mixed-integer programming (MIP) based on a problem decomposition can be considered for improving algorithmic performance. In order to design problem-specific EAs it is desirable to have a set of design guidelines that specify properties of search operators and representations. Recently, a set of guidelines has been proposed that gives rise to so-called Metric-based EAs (MBEAs). Extended by the minimal moves mutation they allow for a generalization of EA with self-adaptive mutation strength in discrete search spaces. In this article, a problem-specific EA for process engineering task is designed, following the MBEA guidelines and minimal moves mutation. On the background of the application, the usefulness of the design framework is discussed, and further extensions and corrections proposed. As a case-study, a two-stage stochastic programming problem in chemical batch process scheduling is considered. The algorithm design problem can be viewed as the choice of a hierarchical decision structure, where on different layers of the decision process symmetries and similarities can be exploited for the design of minimal moves. After a discussion of the design approach and its instantiation for the case-study, the resulting problem-specific EA/MIP is compared to a straightforward application of a canonical EA/MIP and to a monolithic mathematical programming algorithm. In view of the

  7. Expansion around half-integer values, binomial sums, and inverse binomial sums

    International Nuclear Information System (INIS)

    Weinzierl, Stefan

    2004-01-01

    I consider the expansion of transcendental functions in a small parameter around rational numbers. This includes in particular the expansion around half-integer values. I present algorithms which are suitable for an implementation within a symbolic computer algebra system. The method is an extension of the technique of nested sums. The algorithms allow in addition the evaluation of binomial sums, inverse binomial sums and generalizations thereof

  8. Application of a microprocessor system to stream monitoring

    International Nuclear Information System (INIS)

    Oakes, T.W.; Shank, K.E.

    1978-01-01

    Low-level liquid wastes originating from the Oak Ridge National Laboratory (ORNL) are discharged, after treatment, into White Oak Creek, which is a small tributary of the Clinch River located in East Tennessee. Samples of White Oak Creek discharges are collected at White Oak Dam by a continuous digital proportional water sampler and analyzed weekly for radioactivity. The sampler contains a control system with a microprocessor that has been programmed to solve nonlinear weir equations. This system was designed and installed at ORNL by the Instrumentation and Controls Division and was tested by the Environmental Surveillance and Evaluation Section of the Industrial Safety and Applied Health Physics Division. The control system was designed to measure water flow rates from 0 to 334 ft 3 /sec to within 0.1%. Results of our test program and possible applications to other liquid sampling needs are discussed

  9. A low cost, microprocessor-based battery charge controller

    Energy Technology Data Exchange (ETDEWEB)

    Pulfrey, D L; Hacker, J [Pulfrey Solar Inc., Vancouver, BC (Canada)

    1990-01-01

    This report describes the design, construction, testing, and evaluation of a microprocessor-based battery charge controller that uses charge integration as the method of battery state-of-charge estimation. The controller is intended for use in medium-size (100-1000W) photovoltaic systems that employ 12V lead-acid batteries for charge storage. The controller regulates the charge flow to the battery and operates in three, automatically-determined modes, namely: charge, equalize, and float. The prototype controller is modular in nature and can handle charge/discharge currents of magnitude up to 80A, depending on the number of circuit boards employed. Evaluation tests and field trials have shown the controller to be very accurate and reliable. Based on the cost of the prototype, it appears that an original equipment manufacturer's selling price of $400 for a 40A (500W) unit may be realistic. 18 figs., 2 tabs.

  10. A quadratic approximation-based algorithm for the solution of multiparametric mixed-integer nonlinear programming problems

    KAUST Repository

    Domí nguez, Luis F.; Pistikopoulos, Efstratios N.

    2012-01-01

    An algorithm for the solution of convex multiparametric mixed-integer nonlinear programming problems arising in process engineering problems under uncertainty is introduced. The proposed algorithm iterates between a multiparametric nonlinear

  11. Microprocessor-controlled tester for evaluation of the Self-Energized Credential System (SECS)

    International Nuclear Information System (INIS)

    Corlis, N.E.

    1980-03-01

    The Self-Energized Credential System (SECS) was developed for use in the Plutonium Protection System (PPS) installed at Hanford, Washington. Evaluation and development of the SECS system was enhanced by the use of a microprocessor-controlled portal tester. This tester used infrared (ir) beam sensors to provide information on the direction of travel of the credential wearer and to detect inoperative credentials. A printed record of the portal number, actual code read, time, and direction of the credential passage provided information essential to an assessment of the operability of the SECS

  12. Microprocessor tester for the treat upgrade reactor trip system

    International Nuclear Information System (INIS)

    Lenkszus, F.R.; Bucher, R.G.

    1984-01-01

    The upgrading of the Transient Reactor Test (TREAT) Facility at ANL-Idaho has been designed to provide additional experimental capabilities for the study of core disruptive accident (CDA) phenomena. In addition, a programmable Automated Reactor Control System (ARCS) will permit high-power transients up to 11,000 MW having a controlled reactor period of from 15 to 0.1 sec. These modifications to the core neutronics will improve simulation of LMFBR accident conditions. Finally, a sophisticated, multiply-redundant safety system, the Reactor Trip System (RTS), will provide safe operation for both steady state and transient production operating modes. To insure that this complex safety system is functioning properly, a Dedicated Microprocessor Tester (DMT) has been implemented to perform a thorough checkout of the RTS prior to all TREAT operations

  13. Enhanced index tracking modeling in portfolio optimization with mixed-integer programming z approach

    Science.gov (United States)

    Siew, Lam Weng; Jaaman, Saiful Hafizah Hj.; Ismail, Hamizun bin

    2014-09-01

    Enhanced index tracking is a popular form of portfolio management in stock market investment. Enhanced index tracking aims to construct an optimal portfolio to generate excess return over the return achieved by the stock market index without purchasing all of the stocks that make up the index. The objective of this paper is to construct an optimal portfolio using mixed-integer programming model which adopts regression approach in order to generate higher portfolio mean return than stock market index return. In this study, the data consists of 24 component stocks in Malaysia market index which is FTSE Bursa Malaysia Kuala Lumpur Composite Index from January 2010 until December 2012. The results of this study show that the optimal portfolio of mixed-integer programming model is able to generate higher mean return than FTSE Bursa Malaysia Kuala Lumpur Composite Index return with only selecting 30% out of the total stock market index components.

  14. Estimating Most Productive Scale Size in Data Envelopment Analysis with Integer Value Data

    Science.gov (United States)

    Dwi Sari, Yunita; Angria S, Layla; Efendi, Syahril; Zarlis, Muhammad

    2018-01-01

    The most productive scale size (MPSS) is a measurement that states how resources should be organized and utilized to achieve optimal results. The most productive scale size (MPSS) can be used as a benchmark for the success of an industry or company in producing goods or services. To estimate the most productive scale size (MPSS), each decision making unit (DMU) should pay attention the level of input-output efficiency, by data envelopment analysis (DEA) method decision making unit (DMU) can identify units used as references that can help to find the cause and solution from inefficiencies can optimize productivity that main advantage in managerial applications. Therefore, data envelopment analysis (DEA) is chosen to estimating most productive scale size (MPSS) that will focus on the input of integer value data with the CCR model and the BCC model. The purpose of this research is to find the best solution for estimating most productive scale size (MPSS) with input of integer value data in data envelopment analysis (DEA) method.

  15. Chromosome structures: reduction of certain problems with unequal gene content and gene paralogs to integer linear programming.

    Science.gov (United States)

    Lyubetsky, Vassily; Gershgorin, Roman; Gorbunov, Konstantin

    2017-12-06

    Chromosome structure is a very limited model of the genome including the information about its chromosomes such as their linear or circular organization, the order of genes on them, and the DNA strand encoding a gene. Gene lengths, nucleotide composition, and intergenic regions are ignored. Although highly incomplete, such structure can be used in many cases, e.g., to reconstruct phylogeny and evolutionary events, to identify gene synteny, regulatory elements and promoters (considering highly conserved elements), etc. Three problems are considered; all assume unequal gene content and the presence of gene paralogs. The distance problem is to determine the minimum number of operations required to transform one chromosome structure into another and the corresponding transformation itself including the identification of paralogs in two structures. We use the DCJ model which is one of the most studied combinatorial rearrangement models. Double-, sesqui-, and single-operations as well as deletion and insertion of a chromosome region are considered in the model; the single ones comprise cut and join. In the reconstruction problem, a phylogenetic tree with chromosome structures in the leaves is given. It is necessary to assign the structures to inner nodes of the tree to minimize the sum of distances between terminal structures of each edge and to identify the mutual paralogs in a fairly large set of structures. A linear algorithm is known for the distance problem without paralogs, while the presence of paralogs makes it NP-hard. If paralogs are allowed but the insertion and deletion operations are missing (and special constraints are imposed), the reduction of the distance problem to integer linear programming is known. Apparently, the reconstruction problem is NP-hard even in the absence of paralogs. The problem of contigs is to find the optimal arrangements for each given set of contigs, which also includes the mutual identification of paralogs. We proved that these

  16. Extension of the Poincaré group with half-integer spin generators: hypergravity and beyond

    Energy Technology Data Exchange (ETDEWEB)

    Fuentealba, Oscar [Centro de Estudios Científicos (CECs), Av. Arturo Prat 514, Valdivia (Chile); Departamento de Física, Universidad de Concepción, Casilla 160-C, Concepción (Chile); Matulich, Javier; Troncoso, Ricardo [Centro de Estudios Científicos (CECs), Av. Arturo Prat 514, Valdivia (Chile)

    2015-09-01

    An extension of the Poincaré group with half-integer spin generators is explicitly constructed. We start discussing the case of three spacetime dimensions, and as an application, it is shown that hypergravity can be formulated so as to incorporate this structure as its local gauge symmetry. Since the algebra admits a nontrivial Casimir operator, the theory can be described in terms of gauge fields associated to the extension of the Poincaré group with a Chern-Simons action. The algebra is also shown to admit an infinite-dimensional non-linear extension, that in the case of fermionic spin-3/2 generators, corresponds to a subset of a contraction of two copies of WB{sub 2}. Finally, we show how the Poincaré group can be extended with half-integer spin generators for d≥3 dimensions.

  17. Trust versus confidence: Microprocessors and personnel monitoring

    International Nuclear Information System (INIS)

    Chiaro, P.J. Jr.

    1993-01-01

    Due to recent technological advances, substantial improvements have been made in personnel contamination monitoring. In all likelihood, these advances will close out the days of manually frisking personnel for radioactive contamination. Unfortunately, as microprocessor-based monitors become more widely used, not only at commercial power reactors but also at government facilities, questions concerning their trustworthiness arise. Algorithms make decisions that were previously made by technicians. Trust is placed not in technicians but in machines. In doing this it is assumed that the machine never misses. Inevitably, this trust drops, due largely to open-quotes false alarms.close quotes This is especially true when monitoring for alpha contamination. What is a open-quotes false alarm?close quotes Do these machines and their algorithms that we put our trust in make mistakes? An analysis was performed on half-body and hand-and-foot monitors at Oak Ridge National Laboratory (ORNL) in order to justify the suggested confidence level used for alarm point determination. Sources used in this analysis had activities approximating ORNL's contamination limits

  18. Using Integer Programming for Airport Service Planning in Staff Scheduling

    Directory of Open Access Journals (Sweden)

    W.H. Ip

    2010-09-01

    Full Text Available Reliability and safety in flight is extremely necessary and that depend on the adoption of proper maintenance system. Therefore, it is essential for aircraft maintenance companies to perform the manpower scheduling efficiently. One of the objectives of this paper is to provide an Integer Programming approach to determine the optimal solutions to aircraft maintenance planning and scheduling and hence the planning and scheduling processes can become more efficient and effective. Another objective is to develop a set of computational schedules for maintenance manpower to cover all scheduled flights. In this paper, a sequential methodology consisting of 3 stages is proposed. They are initial maintenance demand schedule, the maintenance pairing and the maintenance group(s assignment. Since scheduling would split up into different stages, different mathematical techniques have been adopted to cater for their own problem characteristics. Microsoft Excel would be used. Results from the first stage and second stage would be inputted into integer programming model using Microsoft Excel Solver to find the optimal solution. Also, Microsoft Excel VBA is used for devising a scheduling system in order to reduce the manual process and provide a user friendly interface. For the results, all can be obtained optimal solution and the computation time is reasonable and acceptable. Besides, the comparison of the peak time and non-peak time is discussed.

  19. Self-affine scaling from non-integer phase-space partition in $\\pi^{+}p$ and $K^{+}p$ collisions at 250 GeV/$c$

    CERN Document Server

    Agababian, N M

    1998-01-01

    A factorial-moment analysis with real (integer and non-integer) phase space partition is applied to $\\pi^+$p and K$^+$p collisions at 250 GeV/$c$. Clear evidence is shown for self-affine rather than self-similar power-law scaling in multiparticle production. The three-dimensional self-affine second-order scaling exponent is determined to be 0.061$\\pm$0.010.

  20. Integer Programming Formulation of the Problem of Generating Milton Babbitt's All-partition Arrays

    DEFF Research Database (Denmark)

    Tanaka, Tsubasa; Bemman, Brian; Meredith, David

    2016-01-01

    Milton Babbitt (1916–2011) was a composer of twelve-tone serial music noted for creating the all-partition array. The problem of generating an all-partition array involves finding a rectangular array of pitch-class integers that can be partitioned into regions, each of which represents a distinct...

  1. A mixed integer program to model spatial wildfire behavior and suppression placement decisions

    Science.gov (United States)

    Erin J. Belval; Yu Wei; Michael. Bevers

    2015-01-01

    Wildfire suppression combines multiple objectives and dynamic fire behavior to form a complex problem for decision makers. This paper presents a mixed integer program designed to explore integrating spatial fire behavior and suppression placement decisions into a mathematical programming framework. Fire behavior and suppression placement decisions are modeled using...

  2. Equitably Distributing Quality of Marine Security Guards Using Integer Programming

    Science.gov (United States)

    2013-03-01

    ARB BALMOD COM DoD DoS E HAF HQ 10 IP IQ LP MOS MCESG MSG MSGAT NLP NMC OSAB PCS PP&O Q RSO SAl SD SE SNCO T-ODP LIST OF...and Eurasia 2 Abu Dhabi, United Arab Emirates India and the Middle East 3 Bangkok, Thailand East Asia and Pacific 4 Fort Lauderdale, Florida South...integer, goal, and quadratic programming. LP models and nonlinear programming ( NLP ) models are very similar in model development for both maximizing

  3. Microprocessor-based system for continuous monitoring of radiation levels around the CERN PS and PSB accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Agoritsas, V.; Beck, F.; Benincasa, G.P.; Bovigny, J.P.

    1986-06-01

    This paper describes a new beam loss monitor system which has been installed in the PS and PSB machines, replacing an earlier system. The new system is controlled by a microprocessor which can operate independently of the accelerator control system, though setting up and central display are usually done remotely, using the standard control system facilities.

  4. Statistical analysis of the limitation of half integer resonances on the available momentum acceptance of the High Energy Photon Source

    Energy Technology Data Exchange (ETDEWEB)

    Jiao, Yi, E-mail: jiaoyi@ihep.ac.cn; Duan, Zhe

    2017-01-01

    In a diffraction-limited storage ring, half integer resonances can have strong effects on the beam dynamics, associated with the large detuning terms from the strong focusing and strong sextupoles as required for an ultralow emittance. In this study, the limitation of half integer resonances on the available momentum acceptance (MA) was statistically analyzed based on one design of the High Energy Photon Source (HEPS). It was found that the probability of MA reduction due to crossing of half integer resonances is closely correlated with the level of beta beats at the nominal tunes, but independent of the error sources. The analysis indicated that for the presented HEPS lattice design, the rms amplitude of beta beats should be kept below 1.5% horizontally and 2.5% vertically to reach a small MA reduction probability of about 1%.

  5. Emulation of MS DOS Operational System on the Autonomous Crate-Controller with I8086 microprocessor

    International Nuclear Information System (INIS)

    Hons, Z.; Cizek, P.; Streit, V.

    1988-01-01

    KM-DOS operating system for CAMAC autonomous crate-controller based on Intel 8086/8087 microprocessor connected with Pravec-16 IBM PC is described. The KM-DOS system fully emulates the MS DOS environment on the CAMAC controller. Thus ASSEMBLER, FORTRAN, C and PASCAL programs compiled and linked on IBM PC and compatible can be run on the CAMAC controller and parall work of both computers is enabled

  6. Development of a microprocessor controller for stand-alone photovoltaic power systems

    Science.gov (United States)

    Millner, A. R.; Kaufman, D. L.

    1984-01-01

    A controller for stand-alone photovoltaic systems has been developed using a low power CMOS microprocessor. It performs battery state of charge estimation, array control, load management, instrumentation, automatic testing, and communications functions. Array control options are sequential subarray switching and maximum power control. A calculator keypad and LCD display provides manual control, fault diagnosis and digital multimeter functions. An RS-232 port provides data logging or remote control capability. A prototype 5 kW unit has been built and tested successfully. The controller is expected to be useful in village photovoltaic power systems, large solar water pumping installations, and other battery management applications.

  7. Microprocessor-based integrated LMFBR core surveillance. Pt. 2

    International Nuclear Information System (INIS)

    Elies, V.

    1985-12-01

    This report is the result of the KfK part of a joint study of KfK and INTERATOM. The aim of this study is to explore the advantages of microprocessors and microelectronics for a more sophisticated core surveillance, which is based on the integration of separate surveillance techniques. After a description of the experimental results gained with the different surveillance techniques so far, it is shown which kinds of correlation can be done using the evaluation results obtained from the single surveillance systems. The main part of this report contains the systems analysis of a microcomputer-based system integrating different surveillance methods. After an analysis of the hardware requirements a hardware structure for the integrated system is proposed. The software structure is then described for the subsystem performing the different surveillance algorithms as well as for the system which does the correlation thus deriving additional information from the single results. (orig.) [de

  8. Microprocessor-controlled, wide-range streak camera

    Energy Technology Data Exchange (ETDEWEB)

    Amy E. Lewis, Craig Hollabaugh

    2006-09-01

    Bechtel Nevada/NSTec recently announced deployment of their fifth generation streak camera. This camera incorporates many advanced features beyond those currently available for streak cameras. The arc-resistant driver includes a trigger lockout mechanism, actively monitors input trigger levels, and incorporates a high-voltage fault interrupter for user safety and tube protection. The camera is completely modular and may deflect over a variable full-sweep time of 15 nanoseconds to 500 microseconds. The camera design is compatible with both large- and small-format commercial tubes from several vendors. The embedded microprocessor offers Ethernet connectivity, and XML [extensible markup language]-based configuration management with non-volatile parameter storage using flash-based storage media. The camera’s user interface is platform-independent (Microsoft Windows, Unix, Linux, Macintosh OSX) and is accessible using an AJAX [asynchronous Javascript and XML]-equipped modem browser, such as Internet Explorer 6, Firefox, or Safari. User interface operation requires no installation of client software or browser plug-in technology. Automation software can also access the camera configuration and control using HTTP [hypertext transfer protocol]. The software architecture supports multiple-simultaneous clients, multiple cameras, and multiple module access with a standard browser. The entire user interface can be customized.

  9. Applications and algorithms for mixed integer nonlinear programming

    International Nuclear Information System (INIS)

    Leyffer, Sven; Munson, Todd; Linderoth, Jeff; Luedtke, James; Miller, Andrew

    2009-01-01

    The mathematical modeling of systems often requires the use of both nonlinear and discrete components. Discrete decision variables model dichotomies, discontinuities, and general logical relationships. Nonlinear functions are required to accurately represent physical properties such as pressure, stress, temperature, and equilibrium. Problems involving both discrete variables and nonlinear constraint functions are known as mixed-integer nonlinear programs (MINLPs) and are among the most challenging computational optimization problems faced by researchers and practitioners. In this paper, we describe relevant scientific applications that are naturally modeled as MINLPs, we provide an overview of available algorithms and software, and we describe ongoing methodological advances for solving MINLPs. These algorithmic advances are making increasingly larger instances of this important family of problems tractable.

  10. Half-integer ghost states and simple BRST quantization

    International Nuclear Information System (INIS)

    Marnelius, R.

    1987-01-01

    Quantum mechanical BRST systems are considered. As is well known an odd number of ghost operators has a representation with respect to the ghost number operator consisting of states with half-integer ghost numbers. Here it is shown that an eigenstate representation of the ghost operators requires a particular mixed Grassmann character of the states. It is also shown that such states always may be avoided provided only one starts from a lagrangian where the fundamental constraints are generated by Lagrange multipliers. In the latter case there also exists an anti-BRST charge. Some relevant properties of the different BRST approaches are displayed. The existence of inequivalent physical representations is demonstrated. (orig.)

  11. Mixed-integer evolution strategies for parameter optimization and their applications to medical image analysis

    NARCIS (Netherlands)

    Li, Rui

    2009-01-01

    The target of this work is to extend the canonical Evolution Strategies (ES) from traditional real-valued parameter optimization domain to mixed-integer parameter optimization domain. This is necessary because there exist numerous practical optimization problems from industry in which the set of

  12. Landau level broadening without disorder, non-integer plateaus without interactions- an alternative model of the quantum Hall effect

    International Nuclear Information System (INIS)

    Kramer, T.

    2006-01-01

    I review some aspects of an alternative model of the quantum Hall effect, which is not based on the presence of disorder potentials. Instead, a quantization of the electronic drift current in the presence of crossed electric and magnetic fields is employed to construct a non-linear transport theory. Another important ingredient of the alternative theory is the coupling of the two-dimensional electron gas to the leads and the applied voltages. By working in a picture where the external voltages fix the chemical potential in the 2D subsystem, the experimentally observed linear relation between the voltage and the location of the quantum Hall plateaus finds an natural explanation. Also, the classical Hall effect emerges as a natural limit of the quantum Hall effect. For low temperatures (or high currents), a non-integer substructure splits higher Landau levels into sublevels. The appearance of substructure and non-integer plateaus in the resistivity is not linked to electron-electron interactions, but caused by the presence of a (linear) electric field. Some of the resulting fractions correspond exactly to half-integer plateaus. (Author)

  13. Identifying optimal regional solid waste management strategies through an inexact integer programming model containing infinite objectives and constraints.

    Science.gov (United States)

    He, Li; Huang, Guo-He; Zeng, Guang-Ming; Lu, Hong-Wei

    2009-01-01

    The previous inexact mixed-integer linear programming (IMILP) method can only tackle problems with coefficients of the objective function and constraints being crisp intervals, while the existing inexact mixed-integer semi-infinite programming (IMISIP) method can only deal with single-objective programming problems as it merely allows the number of constraints to be infinite. This study proposes, an inexact mixed-integer bi-infinite programming (IMIBIP) method by incorporating the concept of functional intervals into the programming framework. Different from the existing methods, the IMIBIP can tackle the inexact programming problems that contain both infinite objectives and constraints. The developed method is applied to capacity planning of waste management systems under a variety of uncertainties. Four scenarios are considered for comparing the solutions of IMIBIP with those of IMILP. The results indicate that reasonable solutions can be generated by the IMIBIP method. Compared with IMILP, the system cost from IMIBIP would be relatively high since the fluctuating market factors are considered; however, the IMILP solutions are associated with a raised system reliability level and a reduced constraint violation risk level.

  14. INTEG INSPEC, Accident Frequencies and Safety Analysis for Nuclear Power Plant

    International Nuclear Information System (INIS)

    Arnett, L.M.

    1976-01-01

    1 - Description of problem or function: These programs analyze the characteristics of a general model developed to represent the safety aspects of an operating nuclear reactor. These characteristics are the frequencies of incidents that are departures from the expected behavior of the reactor. Each incident is assumed to be preceded by a sequence of events starting at some initiating event. At each member in this sequence there may be functions such as safety circuits, and personnel operations that stop the sequence at that member. When mechanical devices fail they are assumed to remain inoperative until repaired. The model accounts for scheduled inspection and maintenance of all equipment in the system. 2 - Method of solution: In INTEG, the discontinuous density function is integrated by the trapezoidal rule from time equals zero to time equals t. INSPEC is based on the simulation of reactor operation as a Markov process. A vector of probabilities is successively multiplied by a transition matrix. 3 - Restrictions on the complexity of the problem: INSPEC is limited to subsystems with no more than 7 safety circuits. The transition matrix can be made up as desired so that any intercorrelations between failures of circuits can be accommodated. In INTEG, failure rates of safety circuits are restricted to independence

  15. Software support for Motorola 68000 microprocessor at CERN. M68MIL cross macro assembler

    International Nuclear Information System (INIS)

    Eicken, H. von.

    1983-01-01

    This document is a user's guide for programming the Motorola 68000 microprocessor in assembly language. It describes the programming model, addressing modes and instruction set of the M 68000 as well as the use of the M68mil cross macro assembler. Version 3.6 of the assembler has been installed at CERN on CDC, DEC VAX, IBM, Norsk Data and Siemens computers. The source code of the assembler is available from CERN on request. (orig.)

  16. Exact diagonalization study of domain structures in integer filling factor quantum Hall ferromagnets

    Czech Academy of Sciences Publication Activity Database

    Rezayi, E. H.; Jungwirth, Tomáš; MacDonald, A. H.; Haldane, F. D. M.

    2003-01-01

    Roč. 67, č. 20 (2003), s. 201305-1 - 201305-4 ISSN 0163-1829 R&D Projects: GA ČR GA202/01/0754 Institutional research plan: CEZ:AV0Z1010914 Keywords : domain structure * integer filling factor * quantum Hall ferromagnets Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.962, year: 2003

  17. A new methodological development for solving linear bilevel integer programming problems in hybrid fuzzy environment

    Directory of Open Access Journals (Sweden)

    Animesh Biswas

    2016-04-01

    Full Text Available This paper deals with fuzzy goal programming approach to solve fuzzy linear bilevel integer programming problems with fuzzy probabilistic constraints following Pareto distribution and Frechet distribution. In the proposed approach a new chance constrained programming methodology is developed from the view point of managing those probabilistic constraints in a hybrid fuzzy environment. A method of defuzzification of fuzzy numbers using ?-cut has been adopted to reduce the problem into a linear bilevel integer programming problem. The individual optimal value of the objective of each DM is found in isolation to construct the fuzzy membership goals. Finally, fuzzy goal programming approach is used to achieve maximum degree of each of the membership goals by minimizing under deviational variables in the decision making environment. To demonstrate the efficiency of the proposed approach, a numerical example is provided.

  18. Microprocessor isotope gauges for measurement of coating thickness and of air dust pollution

    International Nuclear Information System (INIS)

    Machaj, B.; Zrudelny, F.; Sikora, A.; Jaszczuk, J.

    1986-01-01

    The article describes a coating thickness gauge based on measurement of backscattered beta particles, and an air dust pollution gauge based on measurement of dust deposited from known volume of ambient air passed through a filter, by attenuation of beta radiation. In both cases to control the gauges and to process head signals microcomputer system based on Intel 8080 microprocessor is employed. Algorithms for processing and control of the gauges and corresponding flow charts are presented. Block diagram of microcomputer system used is presented, as well as the manner of operation of the gauges. (author)

  19. Mixed Integer Programming and Heuristic Scheduling for Space Communication

    Science.gov (United States)

    Lee, Charles H.; Cheung, Kar-Ming

    2013-01-01

    Optimal planning and scheduling for a communication network was created where the nodes within the network are communicating at the highest possible rates while meeting the mission requirements and operational constraints. The planning and scheduling problem was formulated in the framework of Mixed Integer Programming (MIP) to introduce a special penalty function to convert the MIP problem into a continuous optimization problem, and to solve the constrained optimization problem using heuristic optimization. The communication network consists of space and ground assets with the link dynamics between any two assets varying with respect to time, distance, and telecom configurations. One asset could be communicating with another at very high data rates at one time, and at other times, communication is impossible, as the asset could be inaccessible from the network due to planetary occultation. Based on the network's geometric dynamics and link capabilities, the start time, end time, and link configuration of each view period are selected to maximize the communication efficiency within the network. Mathematical formulations for the constrained mixed integer optimization problem were derived, and efficient analytical and numerical techniques were developed to find the optimal solution. By setting up the problem using MIP, the search space for the optimization problem is reduced significantly, thereby speeding up the solution process. The ratio of the dimension of the traditional method over the proposed formulation is approximately an order N (single) to 2*N (arraying), where N is the number of receiving antennas of a node. By introducing a special penalty function, the MIP problem with non-differentiable cost function and nonlinear constraints can be converted into a continuous variable problem, whose solution is possible.

  20. Development of the self-learning machine for creating models of microprocessor of single-phase earth fault protection devices in networks with isolated neutral voltage above 1000 V

    Science.gov (United States)

    Utegulov, B. B.; Utegulov, A. B.; Meiramova, S.

    2018-02-01

    The paper proposes the development of a self-learning machine for creating models of microprocessor-based single-phase ground fault protection devices in networks with an isolated neutral voltage higher than 1000 V. Development of a self-learning machine for creating models of microprocessor-based single-phase earth fault protection devices in networks with an isolated neutral voltage higher than 1000 V. allows to effectively implement mathematical models of automatic change of protection settings. Single-phase earth fault protection devices.

  1. Real time computer system with distributed microprocessors

    International Nuclear Information System (INIS)

    Heger, D.; Steusloff, H.; Syrbe, M.

    1979-01-01

    The usual centralized structure of computer systems, especially of process computer systems, cannot sufficiently use the progress of very large-scale integrated semiconductor technology with respect to increasing the reliability and performance and to decreasing the expenses especially of the external periphery. This and the increasing demands on process control systems has led the authors to generally examine the structure of such systems and to adapt it to the new surroundings. Computer systems with distributed, optical fibre-coupled microprocessors allow a very favourable problem-solving with decentralized controlled buslines and functional redundancy with automatic fault diagnosis and reconfiguration. A fit programming system supports these hardware properties: PEARL for multicomputer systems, dynamic loader, processor and network operating system. The necessary design principles for this are proved mainly theoretically and by value analysis. An optimal overall system of this new generation of process control systems was established, supported by results of 2 PDV projects (modular operating systems, input/output colour screen system as control panel), for the purpose of testing by apllying the system for the control of 28 pit furnaces of a steel work. (orig.) [de

  2. GPS/MEMS IMU/Microprocessor Board for Navigation

    Science.gov (United States)

    Gender, Thomas K.; Chow, James; Ott, William E.

    2009-01-01

    A miniaturized instrumentation package comprising a (1) Global Positioning System (GPS) receiver, (2) an inertial measurement unit (IMU) consisting largely of surface-micromachined sensors of the microelectromechanical systems (MEMS) type, and (3) a microprocessor, all residing on a single circuit board, is part of the navigation system of a compact robotic spacecraft intended to be released from a larger spacecraft [e.g., the International Space Station (ISS)] for exterior visual inspection of the larger spacecraft. Variants of the package may also be useful in terrestrial collision-detection and -avoidance applications. The navigation solution obtained by integrating the IMU outputs is fed back to a correlator in the GPS receiver to aid in tracking GPS signals. The raw GPS and IMU data are blended in a Kalman filter to obtain an optimal navigation solution, which can be supplemented by range and velocity data obtained by use of (l) a stereoscopic pair of electronic cameras aboard the robotic spacecraft and/or (2) a laser dynamic range imager aboard the ISS. The novelty of the package lies mostly in those aspects of the design of the MEMS IMU that pertain to controlling mechanical resonances and stabilizing scale factors and biases.

  3. Deviation of the Variances of Classical Estimators and Negative Integer Moment Estimator from Minimum Variance Bound with Reference to Maxwell Distribution

    Directory of Open Access Journals (Sweden)

    G. R. Pasha

    2006-07-01

    Full Text Available In this paper, we present that how much the variances of the classical estimators, namely, maximum likelihood estimator and moment estimator deviate from the minimum variance bound while estimating for the Maxwell distribution. We also sketch this difference for the negative integer moment estimator. We note the poor performance of the negative integer moment estimator in the said consideration while maximum likelihood estimator attains minimum variance bound and becomes an attractive choice.

  4. A Finer Classification of the Unit Sum Number of the Ring of Integers ...

    Indian Academy of Sciences (India)

    Here we introduce a finer classification for the unit sum number of a ring and in this new classification we completely determine the unit sum number of the ring of integers of a quadratic field. Further we obtain some results on cubic complex fields which one can decide whether the unit sum number is or ∞. Then we ...

  5. A microprocessor-based system for continuous monitoring of radiation levels around the CERN PS and PSB accelerators

    Science.gov (United States)

    Agoritsas, V.; Beck, F.; Benincasa, G. P.; Bovigny, J. P.

    1986-06-01

    This paper describes a new beam loss monitor system which has been installed in the PS and PSB machines, replacing an earlier system. The new system is controlled by a microprocessor which can operate independently of the accelerator control system, though setting up and central display are usually done remotely, using the standard control system facilities.

  6. Distribution Locational Marginal Pricing for Optimal Electric Vehicle Charging through Chance Constrained Mixed-Integer Programming

    DEFF Research Database (Denmark)

    Liu, Zhaoxi; Wu, Qiuwei; Oren, Shmuel S.

    2017-01-01

    This paper presents a distribution locational marginal pricing (DLMP) method through chance constrained mixed-integer programming designed to alleviate the possible congestion in the future distribution network with high penetration of electric vehicles (EVs). In order to represent the stochastic...

  7. MONICA - a programmable microprocessor for track recognition in an e+e- experiment at PETRA

    International Nuclear Information System (INIS)

    Schildt, P.; Stuckenberg, H.J.; Wermes, N.

    1981-01-01

    The microprocessor device MONICA is used in the TASSO experiment at PETRA. Its task is to reconstruct events in the cylindrical driftchamber on-line. Used as an event filter MONICA provides a 2 prong trigger without any further requirements. The speed of the processor (event reconstruction times must be in the order of 1 ms) is achieved by a 4 x 4 bit slice processor in ECL technology, content addressable memories and table look up. The track finding efficiency is 80%. (orig.)

  8. Mixed-Integer-Linear-Programming-Based Energy Management System for Hybrid PV-Wind-Battery Microgrids

    DEFF Research Database (Denmark)

    Hernández, Adriana Carolina Luna; Aldana, Nelson Leonardo Diaz; Graells, Moises

    2017-01-01

    -side strategy, defined as a general mixed-integer linear programming by taking into account two stages for proper charging of the storage units. This model is considered as a deterministic problem that aims to minimize operating costs and promote self-consumption based on 24-hour ahead forecast data...

  9. A Fourier transform with speed improvements for microprocessor applications

    Science.gov (United States)

    Lokerson, D. C.; Rochelle, R.

    1980-01-01

    A fast Fourier transform algorithm for the RCA 1802microprocessor was developed for spacecraft instrument applications. The computations were tailored for the restrictions an eight bit machine imposes. The algorithm incorporates some aspects of Walsh function sequency to improve operational speed. This method uses a register to add a value proportional to the period of the band being processed before each computation is to be considered. If the result overflows into the DF register, the data sample is used in computation; otherwise computation is skipped. This operation is repeated for each of the 64 data samples. This technique is used for both sine and cosine portions of the computation. The processing uses eight bit data, but because of the many computations that can increase the size of the coefficient, floating point form is used. A method to reduce the alias problem in the lower bands is also described.

  10. Imaging the Conductance of Integer and Fractional Quantum Hall Edge States

    Directory of Open Access Journals (Sweden)

    Nikola Pascher

    2014-01-01

    Full Text Available We measure the conductance of a quantum point contact while the biased tip of a scanning probe microscope induces a depleted region in the electron gas underneath. At a finite magnetic field, we find plateaus in the real-space maps of the conductance as a function of tip position at integer (ν=1, 2, 3, 4, 6, 8 and fractional (ν=1/3, 2/3, 5/3, 4/5 values of transmission. They resemble theoretically predicted compressible and incompressible stripes of quantum Hall edge states. The scanning tip allows us to shift the constriction limiting the conductance in real space over distances of many microns. The resulting stripes of integer and fractional filling factors are rugged on scales of a few hundred nanometers, i.e., on a scale much smaller than the zero-field elastic mean free path of the electrons. Our experiments demonstrate that microscopic inhomogeneities are relevant even in high-quality samples and lead to locally strongly fluctuating widths of incompressible regions even down to their complete suppression for certain tip positions. The macroscopic quantization of the Hall resistance measured experimentally in a nonlocal contact configuration survives in the presence of these inhomogeneities, and the relevant local energy scale for the ν=2 state turns out to be independent of tip position.

  11. Proposal for the award of a blanket order contract for the supply of microprocessor-based protection and control devices for the CERN HV distribution network

    CERN Document Server

    2004-01-01

    This document concerns the award of a blanket contract for the supply of microprocessor-based protection and control devices for the CERN HV distribution network. The Finance Committee is invited to agree to the negotiation of a blanket order contract with SCHNEIDER ELECTRIC (PT), the lowest technically acceptable bidder after realignment, for the supply of microprocessor-based protection and control devices for the CERN HV distribution network for a total amount of 1 900 000 euros (2 924 128 Swiss francs), subject to revision for inflation after 1 January 2007. The rate of exchange used is that stipulated in the tender

  12. COMPUTING VERTICES OF INTEGER PARTITION POLYTOPES

    Directory of Open Access Journals (Sweden)

    A. S. Vroublevski

    2015-01-01

    Full Text Available The paper describes a method of generating vertices of the polytopes of integer partitions that was used by the authors to calculate all vertices and support vertices of the partition polytopes for all n ≤ 105 and all knapsack partitions of n ≤ 165. The method avoids generating all partitions of n. The vertices are determined with the help of sufficient and necessary conditions; in the hard cases, the well-known program Polymake is used. Some computational aspects are exposed in more detail. These are the algorithm for checking the criterion that characterizes partitions that are convex combinations of two other partitions; the way of using two combinatorial operations that transform the known vertices to the new ones; and employing the Polymake to recognize a limited number (for small n of partitions that need three or more other partitions for being convexly expressed. We discuss the computational results on the numbers of vertices and support vertices of the partition polytopes and some appealing problems these results give rise to.

  13. VLSI Architectures for the Multiplication of Integers Modulo a Fermat Number

    Science.gov (United States)

    Chang, J. J.; Truong, T. K.; Reed, I. S.; Hsu, I. S.

    1984-01-01

    Multiplication is central in the implementation of Fermat number transforms and other residue number algorithms. There is need for a good multiplication algorithm that can be realized easily on a very large scale integration (VLSI) chip. The Leibowitz multiplier is modified to realize multiplication in the ring of integers modulo a Fermat number. This new algorithm requires only a sequence of cyclic shifts and additions. The designs developed for this new multiplier are regular, simple, expandable, and, therefore, suitable for VLSI implementation.

  14. Learning Bayesian network structure: towards the essential graph by integer linear programming tools

    Czech Academy of Sciences Publication Activity Database

    Studený, Milan; Haws, D.

    2014-01-01

    Roč. 55, č. 4 (2014), s. 1043-1071 ISSN 0888-613X R&D Projects: GA ČR GA13-20012S Institutional support: RVO:67985556 Keywords : learning Bayesian network structure * integer linear programming * characteristic imset * essential graph Subject RIV: BA - General Mathematics Impact factor: 2.451, year: 2014 http://library.utia.cas.cz/separaty/2014/MTR/studeny-0427002.pdf

  15. STUDY OF SOLUTION REPRESENTATION LANGUAGE INFLUENCE ON EFFICIENCY OF INTEGER SEQUENCES PREDICTION

    Directory of Open Access Journals (Sweden)

    A. S. Potapov

    2015-01-01

    Full Text Available Methods based on genetic programming for the problem solution of integer sequences extrapolation are the subjects for study in the paper. In order to check the hypothesis about the influence of language expression of program representation on the prediction effectiveness, the genetic programming method based on several limited languages for recurrent sequences has been developed. On the single sequence sample the implemented method with the use of more complete language has shown results, significantly better than the results of one of the current methods represented in literature based on artificial neural networks. Analysis of experimental comparison results for the realized method with the usage of different languages has shown that language extension increases the difficulty of consistent patterns search in languages, available for prediction in a simpler language though it makes new sequence classes accessible for prediction. This effect can be reduced but not eliminated completely at language extension by the constructions, which make solutions more compact. Carried out researches have drawn to the conclusion that alone the choice of an adequate language for solution representation is not enough for the full problem solution of integer sequences prediction (and, all the more, universal prediction problem. However, practically applied methods can be received by the usage of genetic programming.

  16. Developing optimal nurses work schedule using integer programming

    Science.gov (United States)

    Shahidin, Ainon Mardhiyah; Said, Mohd Syazwan Md; Said, Noor Hizwan Mohamad; Sazali, Noor Izatie Amaliena

    2017-08-01

    Time management is the art of arranging, organizing and scheduling one's time for the purpose of generating more effective work and productivity. Scheduling is the process of deciding how to commit resources between varieties of possible tasks. Thus, it is crucial for every organization to have a good work schedule for their staffs. The job of Ward nurses at hospitals runs for 24 hours every day. Therefore, nurses will be working using shift scheduling. This study is aimed to solve the nurse scheduling problem at an emergency ward of a private hospital. A 7-day work schedule for 7 consecutive weeks satisfying all the constraints set by the hospital will be developed using Integer Programming. The work schedule for the nurses obtained gives an optimal solution where all the constraints are being satisfied successfully.

  17. Front end embedded microprocessors in the JET computer-based control system, past, present and future

    International Nuclear Information System (INIS)

    Steed, C.A.; VanderBeken, H.; Browne, M.L.; Fullard, K.; Reed, K.; Tilley, M.; Schmidt, V.

    1987-01-01

    A brief history of the use of Front End Microprocessors in the JET Control and Data Acquisition System (CODAS) is presented. The present expansion in their use from 2 or 3 in 1983 to 27 now, is covered along with the reasoning behind their present usage. Finally, their future planned use in the area of remote handling is discussed and the authors present views on the use of front end processing in future large distributed control systems are presented

  18. Total dose and dose rate radiation characterization of EPI-CMOS radiation hardened memory and microprocessor devices

    International Nuclear Information System (INIS)

    Gingerich, B.L.; Hermsen, J.M.; Lee, J.C.; Schroeder, J.E.

    1984-01-01

    The process, circuit discription, and total dose radiation characteristics are presented for two second generation hardened 4K EPI-CMOS RAMs and a first generation 80C85 microprocessor. Total dose radiation performance is presented to 10M rad-Si and effects of biasing and operating conditions are discussed. The dose rate sensitivity of the 4K RAMs is also presented along with single event upset (SEU) test data

  19. Advanced Transport Operating System (ATOPS) color displays software description microprocessor system

    Science.gov (United States)

    Slominski, Christopher J.; Plyler, Valerie E.; Dickson, Richard W.

    1992-01-01

    This document describes the software created for the Sperry Microprocessor Color Display System used for the Advanced Transport Operating Systems (ATOPS) project on the Transport Systems Research Vehicle (TSRV). The software delivery known as the 'baseline display system', is the one described in this document. Throughout this publication, module descriptions are presented in a standardized format which contains module purpose, calling sequence, detailed description, and global references. The global reference section includes procedures and common variables referenced by a particular module. The system described supports the Research Flight Deck (RFD) of the TSRV. The RFD contains eight cathode ray tubes (CRTs) which depict a Primary Flight Display, Navigation Display, System Warning Display, Takeoff Performance Monitoring System Display, and Engine Display.

  20. A microprocessor based monitoring system for a small nuclear reactor facility

    International Nuclear Information System (INIS)

    Miller, G.E.; DeKeyser, C.F.

    1980-01-01

    An inexpensive microprocessor based system has been designed and constructed for our 250 kilowatt TRIGA reactor facility. The system, which is beginning operational testing, can monitor on a continuous basis the status of up to 54 devices and maintain a record of events. These devices include fixed radiation monitors, pool water level trips, security alarms and an access control unit. In the latter case, the unit permits selection of different levels of access permission based on the time of day. The system can alert security and other personnel in the event of abnormalities. Because of the inclusion of this in the security system, special reliability and failure mode operation. The unit must also be simple to install, program and operate. (author)

  1. Advances in Sensors-Centric Microprocessors and System-on-Chip

    Directory of Open Access Journals (Sweden)

    Juan A. Gómez-Pulido

    2012-04-01

    Full Text Available Sensors-based systems are nowadays an extended technology for many markets due to their great potential in the collection of data from the environment and the processing of such data for different purposes. A typical example is the wireless sensor devices, where the outer temperature, humidity, luminosity and many other parameters can be acquired, measured and processed in order to build useful and fascinating applications that contribute to human welfare. In this scenario, the processing architectures of the sensors-based systems play a very important role. The requirements that are necessary for many such applications (real-time processing, low-power consumption, reduced size, reliability, security and many others means that research on advanced architectures of Microprocessors and System-on-Chips (SoC is needed to design and implement a successful product. In this sense, there are many challenges and open questions in this area that need to be addressed. [...

  2. Data acquisition and command system for use with a microprocessor-based control chassis

    International Nuclear Information System (INIS)

    Halbig, J.K.; Klosterbuer, S.F.; Martinez, V.A. Jr.

    1980-01-01

    The Pion Generation for Medical Irradiations (PIGMI) program at the Los Alamos Scientific Laboratory is developing the technology to build smaller, less expensive, and more reliable proton linear accelerators for medical applications, and has designed a powerful, simple, inexpensive, and reliable control and data acquisition system that is central to the program development. The system is a NOVA-3D minicomputer interfaced to several outlying microprocessor-based controllers, which accomplish control and data acquisition through data I/O chasis. The equipment interface chassis, which can issue binary commands, read binary data, issue analog commands, and read timed and untimed analog data is described

  3. Parallel integer sorting with medium and fine-scale parallelism

    Science.gov (United States)

    Dagum, Leonardo

    1993-01-01

    Two new parallel integer sorting algorithms, queue-sort and barrel-sort, are presented and analyzed in detail. These algorithms do not have optimal parallel complexity, yet they show very good performance in practice. Queue-sort designed for fine-scale parallel architectures which allow the queueing of multiple messages to the same destination. Barrel-sort is designed for medium-scale parallel architectures with a high message passing overhead. The performance results from the implementation of queue-sort on a Connection Machine CM-2 and barrel-sort on a 128 processor iPSC/860 are given. The two implementations are found to be comparable in performance but not as good as a fully vectorized bucket sort on the Cray YMP.

  4. A Mixed Integer Linear Programming Model for the North Atlantic Aircraft Trajectory Planning

    OpenAIRE

    Sbihi , Mohammed; Rodionova , Olga; Delahaye , Daniel; Mongeau , Marcel

    2015-01-01

    International audience; This paper discusses the trajectory planning problem for ights in the North Atlantic oceanic airspace (NAT). We develop a mathematical optimization framework in view of better utilizing available capacity by re-routing aircraft. The model is constructed by discretizing the problem parameters. A Mixed integer linear program (MILP) is proposed. Based on the MILP a heuristic to solve real-size instances is also introduced

  5. Spherical Bessel functions jsub(n) and ysub(n) of integer order and real argument

    International Nuclear Information System (INIS)

    Ardill, R.W.B.; Moriarty, K.J.M.

    1978-01-01

    The spherical Bessel function appears in a variety of physical applications, and especially in phase shift analysis. The package SPHBES contains a subroutine to calculate jsub(n)(x) and ysub(n)(x) for any integer order n and real argument x. The functions jsub(n)(x) and ysub(n)(x) are produced simultaneously and efficiently. (Auth.)

  6. Scheduling of head-dependent cascaded hydro systems: Mixed-integer quadratic programming approach

    International Nuclear Information System (INIS)

    Catalao, J.P.S.; Pousinho, H.M.I.; Mendes, V.M.F.

    2010-01-01

    This paper is on the problem of short-term hydro scheduling, particularly concerning head-dependent cascaded hydro systems. We propose a novel mixed-integer quadratic programming approach, considering not only head-dependency, but also discontinuous operating regions and discharge ramping constraints. Thus, an enhanced short-term hydro scheduling is provided due to the more realistic modeling presented in this paper. Numerical results from two case studies, based on Portuguese cascaded hydro systems, illustrate the proficiency of the proposed approach.

  7. Modeling an integrated hospital management planning problem using integer optimization approach

    Science.gov (United States)

    Sitepu, Suryati; Mawengkang, Herman; Irvan

    2017-09-01

    Hospital is a very important institution to provide health care for people. It is not surprising that nowadays the people’s demands for hospital is increasing. However, due to the rising cost of healthcare services, hospitals need to consider efficiencies in order to overcome these two problems. This paper deals with an integrated strategy of staff capacity management and bed allocation planning to tackle these problems. Mathematically, the strategy can be modeled as an integer linear programming problem. We solve the model using a direct neighborhood search approach, based on the notion of superbasic variables.

  8. Adaptive generalized function matrix projective lag synchronization between fractional-order and integer-order complex networks with delayed coupling and different dimensions

    International Nuclear Information System (INIS)

    Dai, Hao; Si, Gangquan; Jia, Lixin; Zhang, Yanbin

    2013-01-01

    This paper investigates generalized function matrix projective lag synchronization between fractional-order and integer-order complex networks with delayed coupling, non-identical topological structures and different dimensions. Based on Lyapunov stability theory, generalized function matrix projective lag synchronization criteria are derived by using the adaptive control method. In addition, the three-dimensional fractional-order chaotic system and the four-dimensional integer-order hyperchaotic system as the nodes of the drive and the response networks, respectively, are analyzed in detail, and numerical simulation results are presented to illustrate the effectiveness of the theoretical results. (paper)

  9. A motion-based integer ambiguity resolution method for attitude determination using the global positioning system (GPS)

    International Nuclear Information System (INIS)

    Wang, Bo; Deng, Zhihong; Wang, Shunting; Fu, Mengyin

    2010-01-01

    Loss of the satellite signal and noise disturbance will cause cycle slips to occur in the carrier phase observation of the attitude determination system using the global positioning system (GPS), especially in the dynamic situation. Therefore, in order to reject the error by cycle slips, the integer ambiguity should be re-computed. A motion model-based Kalman predictor is used for the ambiguity re-computation in dynamic applications. This method utilizes the correct observation of the last step to predict the current ambiguities. With the baseline length as a constraint to reject invalid values, we can solve the current integer ambiguity and the attitude angles, by substituting the obtained ambiguities into the constrained LAMBDA method. Experimental results demonstrate that the proposed method is more efficient in the dynamic situation, which takes less time to obtain new fixed ambiguities with a higher mean success rate

  10. Gaussian free fields at the integer quantum Hall plateau transition

    Energy Technology Data Exchange (ETDEWEB)

    Bondesan, R., E-mail: roberto.bondesan@phys.ox.ac.uk [Rudolf Peierls Centre for Theoretical Physics, 1 Keble Road, Oxford OX1 3NP (United Kingdom); Wieczorek, D.; Zirnbauer, M.R. [Institut für Theoretische Physik, Universität zu Köln, Zülpicher Straße 77, 50937 Köln (Germany)

    2017-05-15

    In this work we put forward an effective Gaussian free field description of critical wavefunctions at the transition between plateaus of the integer quantum Hall effect. To this end, we expound our earlier proposal that powers of critical wave intensities prepared via point contacts behave as pure scaling fields obeying an Abelian operator product expansion. Our arguments employ the framework of conformal field theory and, in particular, lead to a multifractality spectrum which is parabolic. We also derive a number of old and new identities that hold exactly at the lattice level and hinge on the correspondence between the Chalker–Coddington network model and a supersymmetric vertex model.

  11. Satisfying STEM Education Using the Arduino Microprocessor in C Programming

    Science.gov (United States)

    Hoffer, Brandyn M.

    There exists a need to promote better Science Technology Engineering and Math (STEM) education at the high school level. To satisfy this need a series of hands-on laboratory assignments were created to be accompanied by 2 educational trainers that contain various electronic components. This project provides an interdisciplinary, hands-on approach to teaching C programming that meets several standards defined by the Tennessee Board of Education. Together the trainers and lab assignments also introduce key concepts in math and science while allowing students hands-on experience with various electronic components. This will allow students to mimic real world applications of using the C programming language while exposing them to technology not currently introduced in many high school classrooms. The developed project is targeted at high school students performing at or above the junior level and uses the Arduino Mega open-source Microprocessor and software as the primary control unit.

  12. Scheduling of head-dependent cascaded hydro systems: Mixed-integer quadratic programming approach

    Energy Technology Data Exchange (ETDEWEB)

    Catalao, J.P.S.; Pousinho, H.M.I. [Department of Electromechanical Engineering, University of Beira Interior, R. Fonte do Lameiro, 6201-001 Covilha (Portugal); Mendes, V.M.F. [Department of Electrical Engineering and Automation, Instituto Superior de Engenharia de Lisboa, R. Conselheiro Emidio Navarro, 1950-062 Lisbon (Portugal)

    2010-03-15

    This paper is on the problem of short-term hydro scheduling, particularly concerning head-dependent cascaded hydro systems. We propose a novel mixed-integer quadratic programming approach, considering not only head-dependency, but also discontinuous operating regions and discharge ramping constraints. Thus, an enhanced short-term hydro scheduling is provided due to the more realistic modeling presented in this paper. Numerical results from two case studies, based on Portuguese cascaded hydro systems, illustrate the proficiency of the proposed approach. (author)

  13. Discrete Ziggurat: A time-memory trade-off for sampling from a Gaussian distribution over the integers

    NARCIS (Netherlands)

    Buchmann, J.; Cabarcas, D.; Göpfert, F.; Hülsing, A.T.; Weiden, P.; Lange, T.; Lauter, K.; Lisonek, P.

    2014-01-01

    Several lattice-based cryptosystems require to sample from a discrete Gaussian distribution over the integers. Existing methods to sample from such a distribution either need large amounts of memory or they are very slow. In this paper we explore a different method that allows for a flexible

  14. Experience in installing a microprocessor-based protection system on a UK nuclear power plant

    International Nuclear Information System (INIS)

    Jones, C.D.; Smith, I.C.

    1993-01-01

    This paper describes a recently completed project to install a microprocessor-based reactor protection system on a twin reactor station in the United Kingdom. This represented the first application of digital technology as part of such a system in the UK. The background of the application and details of the chosen solution are provided. The experience gained during the installation, commissioning and early operation of the equipment is reviewed by the operators. Interactions between the utility and the regulatory body are outlined and the impact of the regulatory process on the utility's resources and the project timescales are discussed

  15. A high resolution wire scanner beam profile monitor with a microprocessor data acquisition system

    International Nuclear Information System (INIS)

    Cutler, R.I.; Mohr, D.L.; Whittaker, J.K.; Yoder, N.R.

    1983-01-01

    A beam profile monitor has been constructed for the NBS-LANL Racetrack Microtron. The monitor consists of two perpendicular 30 μm diameter carbon wires that are driven through an electron beam by a pneumatic actuator. A long-lifetime, electroformed nickel bellows is used for the linear-motion vacuum feedthrough. Secondary emission current from the wires and a signal from a transducer measuring the position of the wires are simultaneously digitized by a microprocessor to yield beam current density profiles in two dimensions. The wire scanner is designed for use with both pulsed and cw beams

  16. Optimising the selection of food items for food frequency questionnaires using Mixed Integer Linear Programming

    NARCIS (Netherlands)

    Lemmen-Gerdessen, van J.C.; Souverein, O.W.; Veer, van 't P.; Vries, de J.H.M.

    2015-01-01

    Objective To support the selection of food items for FFQs in such a way that the amount of information on all relevant nutrients is maximised while the food list is as short as possible. Design Selection of the most informative food items to be included in FFQs was modelled as a Mixed Integer Linear

  17. The Use of a Microprocessor-Controlled, Video Output Atomic Absorption Spectrometer as an Educational Tool in a Two-Year Technical Curriculum.

    Science.gov (United States)

    Kerfoot, Henry B.

    Based on instructional experiences at Charles County Community College, Maryland, this report examines the pedagogical advantage of teaching atomic absorption (AA) spectroscopy with an AA spectrophotometer that is equipped with a microprocessor and video output mechanism. The report first discusses the growing importance of AA spectroscopy in…

  18. Optimal placement of capacitors in a radial network using conic and mixed integer linear programming

    Energy Technology Data Exchange (ETDEWEB)

    Jabr, R.A. [Electrical, Computer and Communication Engineering Department, Notre Dame University, P.O. Box: 72, Zouk Mikhael, Zouk Mosbeh (Lebanon)

    2008-06-15

    This paper considers the problem of optimally placing fixed and switched type capacitors in a radial distribution network. The aim of this problem is to minimize the costs associated with capacitor banks, peak power, and energy losses whilst satisfying a pre-specified set of physical and technical constraints. The proposed solution is obtained using a two-phase approach. In phase-I, the problem is formulated as a conic program in which all nodes are candidates for placement of capacitor banks whose sizes are considered as continuous variables. A global solution of the phase-I problem is obtained using an interior-point based conic programming solver. Phase-II seeks a practical optimal solution by considering capacitor sizes as discrete variables. The problem in this phase is formulated as a mixed integer linear program based on minimizing the L1-norm of deviations from the phase-I state variable values. The solution to the phase-II problem is obtained using a mixed integer linear programming solver. The proposed method is validated via extensive comparisons with previously published results. (author)

  19. EOSCOR: a light weight, microprocessor controlled solar neutron detector

    International Nuclear Information System (INIS)

    Koga, R.; Albats, P.; Frye, G.M. Jr.; Schindler, S.M.; Denehy, B.V.; Hopper, V.D.; Mace, O.B.

    1979-01-01

    A light weight high energy neutron detector with vertical detection efficiency of 0.005 at 40 MeV and 1.4 m 2 sensitive area has been developed for long duration super-pressure balloon flight observations of solar neutrons and gamma rays. It consists of two sets of four plastic scintillator hodoscopes separated by a 1 m time-of-flight path to observe n-p, C(n,p), and C(n,d) interactions. The neutron interactions are separated from gamma ray events through TOF measurements. For a large flare, the signal from solar neutrons is expected to be an order of magnitude greater than that of the atmospheric background. The microprocessor controls the data acquisition, accumulation of histograms, and the encoding of data for the telemetry systems. A test flight of the detector was made with a zero-pressure balloon. The expected many-week duration of a super-pressure balloon flight would significantly increase the probability of observing 20-150 MeV neutrons from a medium or large flare. (Auth.)

  20. System and method for leveraging human physiological traits to control microprocessor frequency

    Energy Technology Data Exchange (ETDEWEB)

    Shye, Alex; Pan, Yan; Scholbrock, Benjamin; Miller, J. Scott; Memik, Gokhan; Dinda, Peter A; Dick, Robert P

    2014-03-25

    A system and method for leveraging physiological traits to control microprocessor frequency are disclosed. In some embodiments, the system and method may optimize, for example, a particular processor-based architecture based on, for example, end user satisfaction. In some embodiments, the system and method may determine, for example, whether their users are satisfied to provide higher efficiency, improved reliability, reduced power consumption, increased security, and a better user experience. The system and method may use, for example, biometric input devices to provide information about a user's physiological traits to a computer system. Biometric input devices may include, for example, one or more of the following: an eye tracker, a galvanic skin response sensor, and/or a force sensor.