WorldWideScience

Sample records for vedel ei gaas

  1. Global EiBI-monopole

    Directory of Open Access Journals (Sweden)

    JIN Xinghua

    2014-04-01

    Full Text Available A global EiBI-monopole problem is studied under EiBI gravitational theory.The equations of global EiBI-monopole are derived in the curved spacetime and the relation between the spacetime metric and auxiliary metric is found.In the case of a very small parameter,an asymptotic form of equations is given.The series solutions of global EiBI-monopole at infinity are found.

  2. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  3. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  4. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  5. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  6. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  7. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  8. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  9. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  10. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  11. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  12. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  13. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  14. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  15. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  16. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  17. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  18. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  19. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  20. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  1. Ameerika ei ole Jaapan / Michael Craig

    Index Scriptorium Estoniae

    Craig, Michael

    2002-01-01

    Kuidas Jaapani ärimees Minoru Isutani 1981. aastal ei arvestnud, et ameeriklased ei osta golfiklubi eluaegset liikmekaarti 750 000 dollari eest, ning kaotas USA kuulsaima Pebble Beachi golfiväljaku. Katkend raamatust "50 parimat ja halvimat tehingut läbi aegade"

  2. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  3. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  4. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  5. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  6. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  7. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  8. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  9. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  10. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  11. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  12. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  13. 32 CFR 989.20 - Final EIS.

    Science.gov (United States)

    2010-07-01

    ... limited to factual corrections and responses to comments, the proponent and EPF may, with the prior..., the EPF must submit the Draft EIS and all of the above documents, with a new cover sheet indicating... more extensive modifications are required, the EPF must prepare a preliminary final EIS incorporating...

  14. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  15. EI competencies as a related but different characteristic than intelligence

    Science.gov (United States)

    Boyatzis, Richard E.; Batista-Foguet, Joan M.; Fernández-i-Marín, Xavier; Truninger, Margarida

    2015-01-01

    Amid the swarm of debate about emotional intelligence (EI) among academics are claims that cognitive intelligence, or general mental ability (g), is a stronger predictor of life and work outcomes as well as the counter claims that EI is their strongest predictor. Nested within the tempest in a teapot are scientific questions as to what the relationship is between g and EI. Using a behavioral approach to EI, we examined the relationship of a parametric measure of g as the person’s GMAT scores and collected observations from others who live and work with the person as to the frequency of his or her EI behavior, as well as the person’s self-assessment. The results show that EI, as seen by others, is slightly related to g, especially for males with assessment from professional relations. Further, we found that cognitive competencies are more strongly related to GMAT than EI competencies. For observations from personal relationships or self-assessment, there is no relationship between EI and GMAT. Observations from professional relations reveal a positive relationship between cognitive competencies and GMAT and EI and GMAT for males, but a negative relationship between EI and GMAT for females. PMID:25713545

  16. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  17. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  18. Muutuv kommunikatsioon kultuuri ei ohusta / Annika Poldre

    Index Scriptorium Estoniae

    Poldre, Annika

    2011-01-01

    Tallinna Konverentside poolt Sokos Hotel Virus 28. aprillil 2011 korraldatud kommunikatsiooni aastakonverentsist "Muutuv kommunikatsioon: vana ei taha ja uut ei oska. Kuidas edasi?", kus esinesid Ahto Lobjakas, Priit Põiklik, Piia Tamm ja Marju Lauristin

  19. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  20. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  1. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  2. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  3. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  4. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  5. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  6. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  7. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  8. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  9. Erapooletuid ei olnud / Reet Roos

    Index Scriptorium Estoniae

    Roos, Reet, 1973-

    2006-01-01

    Menetlusest langes välja eelnõu, mille mõte oli, et riik tagaks elatise lapsele, kelle ühelt vanemalt on elatis kohtulikult välja mõistetud, kuid kes seda ise maksta ei taha või ei saa. Sama ka Koit 23. mai 2006, lk. 6 ; Valgamaalane 23. mai 2006, lk. 2 ; Järva Teataja 23. mai 2006, lk. 2 ; Elva Postipoiss 20. mai 2006, lk. 2 ; Vooremaa 16. mai 2006, lk. 2 ; Vali Uudised 17. mai 2006, lk. 2 ; Nädaline 16. mai 2006, lk. 2

  10. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  11. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  12. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  13. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  14. EL : Iiri "ei" Lissaboni lepingut ei surmanud / Kaivo Kopli

    Index Scriptorium Estoniae

    Kopli, Kaivo

    2008-01-01

    Iirlased hääletasid Lissaboni lepingu vastu. EL-i välisministrite nõupidamisel Luksemburgis ütles Iiri välisminister, et rahva tahet tuleb austada. Enamik EL-i riike soovib, et lepingu ratifitseeriksid ka need riigid, kes pole veel seda teinud, Tšehhi asepeaministri Alexander Vondra on seisukohal, et riikidele ei tohi survet avaldada. Vt. samas: Pariis süüdistab juba Brüsselit

  15. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  16. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  17. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  18. Piirivalve : radar piiritusevoolikut ei püüa

    Index Scriptorium Estoniae

    2004-01-01

    Piirivalvet kritiseeriti selle eest, et see ei märganud salapiirituse veoks paigaldatud voolikut Narva veehoidlas. Ida-Viru piirkonnaülema Roland Peetsi sõnul ei ole ükski radar võimeline fikseerima liikumist vee all

  19. CALIFICAREA JURIDICO-PENALĂ A VIOLENŢEI SEXUALE ASUPRA FEMEILOR CA FORMĂ DISTINCTĂ A VIOLENŢEI ÎN FAMILIE

    OpenAIRE

    Nicolae CORCEA

    2016-01-01

    Scopul acestui mesaj ştiinţific constă în rezolvarea controverselor doctrinare în domeniul calificării infracţiunii de violenţă în familie în una din formele ei speciale, cum ar fi violenţa sexuală. Cu toate că violenţa sexuală nu este prevă­zută expres de către legiuitor ca o formă distinctă a violenţei în familie, aceasta devine un fenomen extrem de răspândit. Autorul analizează violenţa împotriva femeilor bazată pe gen, arată caracterul discriminator al violenţei în familie îm­pot­riva fem...

  20. Performance of a GaAs electron source

    International Nuclear Information System (INIS)

    Calabrese, R.; Ciullo, G.; Della Mea, G.; Egeni, G.P.; Guidi, V.; Lamanna, G.; Lenisa, P.; Maciga, B.; Rigato, V.; Rudello, V.; Tecchio, L.; Yang, B.; Zandolin, S.

    1994-01-01

    We discuss the performance improvement of a GaAs electron source. High quantum yield (14%) and constant current extraction (1 mA for more than four weeks) are achieved after a little initial decay. These parameters meet the requirements for application of the GaAs photocathode as a source for electron cooling devices. We also present the preliminary results of a surface analysis experiment, carried out by means of the RBS technique to check the hypothesis of cesium evaporation from the surface when the photocathode is in operation. (orig.)

  1. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Ei viitsi! / Aado Luik

    Index Scriptorium Estoniae

    Luik, Aado, 1937-

    2002-01-01

    Põhjus-tagajärg diagramm (Ishikawa diagramm) annab piltliku ülevaate põhjustest, miks õpilased ei viitsi õppida. Pareto diagramm kajastab näitlikult erinevate põhjuste osa halva tagajärje kujunemises

  3. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    Science.gov (United States)

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  4. The GaAs electron source: simulations and experiments

    International Nuclear Information System (INIS)

    Aleksandrov, A.V.; Ciullo, G.; Guidi, V.; Kudelainen, V.I.; Lamanna, G.; Lenisa, P.; Logachov, P.V.; Maciga, B.; Novokhatsky, A.; Tecchio, L.; Yang, B.

    1994-01-01

    In this paper we calculate electron emission from GaAs photocathodes using the Monte Carlo technique. Typical data of energy spread of the electron beam are presented. For photoenergy ranging from 1.6 to 2.1 eV, the calculated longitudinal and transverse energy spreads are 14.4-78 and 4-14.7 meV respectively. Temporal measurement of GaAs photocathodes has been performed. The preliminary results show that the temporal response is faster than 200 ps. (orig.)

  5. 35-kV GaAs subnanosecond photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Pocha, M.D.; Druce, R.L. (Lawrence Livermore National Lab., CA (United States))

    1990-12-01

    Photoconductive switches are one of the few devices that allow the generation of high-voltage electrical pulses with subnanosecond rise time. The authors are exploring high-voltage, fast-pulse generation using GaAs photoconductive switches. They have been able to generate 35-kV pulses with rise times as short as 135 ps using 5-mm gap switches and have achieved electric field hold-off of greater than 100 kV/cm. They have also been able to generate an approximately 500-ps FWHM on/off electrical pulse with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier life times. This paper describes the experimental results and discusses fabrication of switches and the diagnostics used to measure these fast signals. They also describe the experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs.

  6. The Influence of Emotional Intelligence (EI) on Coping and Mental Health in Adolescence: Divergent Roles for Trait and Ability EI

    Science.gov (United States)

    Davis, Sarah K.; Humphrey, Neil

    2012-01-01

    Theoretically, trait and ability emotional intelligence (EI) should mobilise coping processes to promote adaptation, plausibly operating as personal resources determining choice and/or implementation of coping style. However, there is a dearth of research deconstructing if/how EI impacts mental health via multiple coping strategies in adolescence.…

  7. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  8. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  9. Euroopa paindumatus Eestile eurot ei luba / Hindrek Riikoja

    Index Scriptorium Estoniae

    Riikoja, Hindrek

    2006-01-01

    Vt. ka Postimees : na russkom jazõke 17. juuli lk. 9. 14. juulil Eesti rahandusministri Aivar Sõerdi ja peaminister Andrus Ansipiga kohtunud Euroopa Komisjoni rahandusvolinik Joaquin Almunia kinnitas, et Eestil ei maksa loota eurokriteeriumide muutmisele, rahandusvoliniku hinnangul Eesti krooni devalveerimine enne eurole üleminekut ei ole vajalik

  10. GaAs strip detectors: the Australian production program

    International Nuclear Information System (INIS)

    Butcher, K.S.A.; Alexiev, D.

    1995-01-01

    The Australian High Energy Physics consortium (composed of the University of Melbourne, the University of Sydney and ANSTO) has been investigating the possibility of producing a large area wheel of SI GaAs detectors for the ATLAS detector array. To help assess the extent of Australia's role in this venture a few SI GaAs microstrip detectors are to be manufactured under contract by the CSIRO division of Radiophysics GaAs IC Prototyping Facility. The planned production of the devices is discussed. First, the reasons for producing the detectors here in Australia are examined, then some basic characteristics of the material are considered, and finally details are provided of the design used for the manufacture of the devices. Two sets of detectors will be produced using the standard Glasgow production recipe; SIGaAs and GaN. The Glasgow mask set is being used as a benchmark against which to compare the Australian devices

  11. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  12. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  13. Rasch Analysis of Lebanese Nurses’ Responses to the EIS Questionnaire

    Directory of Open Access Journals (Sweden)

    Michael Clinton

    2014-08-01

    Full Text Available This study examined the psychometric characteristics of a 32-item modified version of the Ethical Issues Scale (EIS. Data were collected from 59 registered nurses at the American University of Beirut Medical Centre (AUBMC. Data were analyzed using WINSTEPS Rasch analysis software. The four-category EIS rating scale needs modification for future studies in Lebanon. All EIS scale items need rewording prior to translation into Arabic to avoid confusion among Lebanese nurses. Principal component analysis (PCA of residuals indicated the possible presence of additional dimensions. Additional EIS items are needed to improve targeting.

  14. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  15. Electronic structure of GaAs with InAs (001) monolayer

    International Nuclear Information System (INIS)

    Tit, N.; Peressi, M.

    1995-04-01

    The effect on the electronic structure of an InAs monomolecular plane inserted in bulk GaAs is investigated theoretically. The (InAs) 1 (GaAs) n (001) strained superlattice is studied via ab-initio self-consistent pseudopotential calculations. Both electrons and holes are localized nearby the inserted InAs monolayer, which therefore acts as a quantum well for all the charge carriers. The small thickness of the inserted InAs slab is responsible of high confinement energies for the charge carriers, and therefore the interband electron-heavy-hole transition energy is close to the energy gap of the bulk GaAs, in agreement with recent experimental data. (author). 18 refs, 4 figs

  16. Kohtunik, ma ei saa teist aru! / Marko Mägi

    Index Scriptorium Estoniae

    Mägi, Marko

    2007-01-01

    Kohtuasjast USAs Arizonas kolme inimsmugeldamises kahtlustatava Mehhiko mehe üle, kelle suhtes ei saa kohtuotsust langetada, kuna ei suudeta tuvastada keelt, mida kohtualused on võimelised mõistma süüdistusest aru saamiseks

  17. 33 CFR 230.13 - Environmental Impact Statement (EIS).

    Science.gov (United States)

    2010-07-01

    ... 33 Navigation and Navigable Waters 3 2010-07-01 2010-07-01 false Environmental Impact Statement..., DEPARTMENT OF DEFENSE PROCEDURES FOR IMPLEMENTING NEPA § 230.13 Environmental Impact Statement (EIS). An EIS... issues and impacts. The transmittal letter to EPA as well as the cover sheet should clearly identify the...

  18. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  19. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  20. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  1. Testing a GaAs cathode in SRF gun

    International Nuclear Information System (INIS)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.; Burrill, A.; Rao, T.; Wu, Q.; Holmes, D.

    2011-01-01

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10 -12 Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs, we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to ∼10 -9 Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the high accelerating

  2. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  3. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  6. Electric field effect of GaAs monolayer from first principles

    Directory of Open Access Journals (Sweden)

    Jiongyao Wu

    2017-03-01

    Full Text Available Using first-principle calculations, we investigate two-dimensional (2D honeycomb monolayer structures composed of group III-V binary elements. It is found that such compound like GaAs should have a buckled structure which is more stable than graphene-like flat structure. This results a polar system with out-of-plane dipoles arising from the non-planar structure. Here, we optimized GaAs monolayer structure, then calculated the electronic band structure and the change of buckling height under external electric field within density functional theory using generalized gradient approximation method. We found that the band gap would change proportionally with the electric field magnitude. When the spin-orbit coupling (SOC is considered, we revealed fine spin-splitting at different points in the reciprocal space. Furthermore, the valence and conduction bands spin-splitting energies due to SOC at the K point of buckled GaAs monolayers are found to be weakly dependent on the electric field strength. Finally electric field effects on the spin texture and second harmonic generation are discussed. The present work sheds light on the control of physical properties of GaAs monolayer by the applied electric field.

  7. Biochemical and Structural Analysis of an Eis Family Aminoglycoside Acetyltransferase from Bacillus anthracis

    Energy Technology Data Exchange (ETDEWEB)

    Green, Keith D.; Biswas, Tapan; Chang, Changsoo; Wu, Ruiying; Chen, Wenjing; Janes, Brian K.; Chalupska, Dominika; Gornicki, Piotr; Hanna, Philip C.; Tsodikov, Oleg V.; Joachimiak, Andrzej; Garneau-Tsodikova, Sylvie

    2015-05-26

    Proteins from the enhanced intracellular survival (Eis) family are versatile acetyltransferases that acetylate amines at multiple positions of several aminoglycosides (AGs). Their upregulation confers drug resistance. Homologues of Eis are present in diverse bacteria, including many pathogens. Eis from Mycobacterium tuberculosis (Eis_Mtb) has been well characterized. In this study, we explored the AG specificity and catalytic efficiency of the Eis family protein from Bacillus anthracis (Eis_Ban). Kinetic analysis of specificity and catalytic efficiency of acetylation of six AGs indicates that Eis_Ban displays significant differences from Eis_Mtb in both substrate binding and catalytic efficiency. The number of acetylated amines was also different for several AGs, indicating a distinct regiospecificity of Eis_Ban. Furthermore, most recently identified inhibitors of Eis_Mtb did not inhibit Eis_Ban, underscoring the differences between these two enzymes. To explain these differences, we determined an Eis_Ban crystal structure. The comparison of the crystal structures of Eis_Ban and Eis_Mtb demonstrates that critical residues lining their respective substrate binding pockets differ substantially, explaining their distinct specificities. Our results suggest that acetyltransferases of the Eis family evolved divergently to garner distinct specificities while conserving catalytic efficiency, possibly to counter distinct chemical challenges. The unique specificity features of these enzymes can be utilized as tools for developing AGs with novel modifications and help guide specific AG treatments to avoid Eis-mediated resistance.

  8. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  9. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  10. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  11. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  12. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. GaAs optoelectronic neuron arrays

    Science.gov (United States)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  14. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  15. Response of GaAs charge storage devices to transient ionizing radiation

    Science.gov (United States)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  16. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  17. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  18. CALIFICAREA JURIDICO-PENALĂ A VIOLENŢEI SEXUALE ASUPRA FEMEILOR CA FORMĂ DISTINCTĂ A VIOLENŢEI ÎN FAMILIE

    Directory of Open Access Journals (Sweden)

    Nicolae CORCEA

    2016-03-01

    Full Text Available Scopul acestui mesaj ştiinţific constă în rezolvarea controverselor doctrinare în domeniul calificării infracţiunii de violenţă în familie în una din formele ei speciale, cum ar fi violenţa sexuală. Cu toate că violenţa sexuală nu este prevă­zută expres de către legiuitor ca o formă distinctă a violenţei în familie, aceasta devine un fenomen extrem de răspândit. Autorul analizează violenţa împotriva femeilor bazată pe gen, arată caracterul discriminator al violenţei în familie îm­pot­riva femeilor în societăţile contemporane; examinează conceptul şi formele violenţei sexuale; demonstrează că violenţa sexuală în familie constituie o formă specială de subminare a femeilor şi a copiilor; propune calificarea juridico-penală a violenţei sexuale în familie.Qualification in criminal law of the sexual violence against women as a distinct form of the family violenceThe purpose of this scientific research consists in the solving of doctrinaire controversies in the sphere of legal appreciation of the criminal offence of family violence in one of its special forms as sexual violence. Even if sexual violence is not provided expressly in the penal legal norm as the independent form of family violence, this one became extremely spread. The author submits to a profound analysis the gender violence against women and demonstrates the discriminatory character of the family violence against women in the modern society; examines the concept and forms of sexual violence; proves that sexual violence in the family constitutes a special form of the women and children sup­pression; recommends legal appreciation of the criminal offence of the sexual violence in family. 

  19. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  20. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  1. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  2. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  3. President ei pruugi uuesti kandideerida

    Index Scriptorium Estoniae

    2006-01-01

    Proua Ingrid Rüütel viitas Kanal 2 uudistesaates "Reporter" võimalusele, et Arnold Rüütel enam uueks ametiajaks ei kandideeri. Ilmunud ka Eesti Päevaleht, 2006/Mar/1, lk. 5 ; Koit, 2006/Mar/2, lk. 2

  4. Transient radiation effects in GaAs semiconductor devices

    International Nuclear Information System (INIS)

    Chang, J.Y.; Stauber, M.; Ezzeddine, A.; Howard, J.W.; Constantine, A.G.; Becker, M.; Block, R.C.

    1988-01-01

    This paper describes an ongoing program to identify the response of GaAs devices to intense pulses of ionizing radiation. The program consists of experimental measurements at the Rensselaer Polytechnic Institute's RPI electron linear accelerator (Linac) on generic GaAs devices built by Grumman Tachonics Corporation and the analysis of these results through computer simulation with the circuit model code SPICE (including radiation effects incorporated in the variations TRISPICE and TRIGSPICE and the device model code PISCES IIB). The objective of this program is the observation of the basic response phenomena and the development of accurate simulation tools so that results of Linac irradiations tests can be understood and predicted

  5. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  6. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  7. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  8. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  9. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  10. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  11. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  12. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  13. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  14. Spin transport anisotropy in (110)GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Odilon, D.D.C. Jr.; Rudolph, Joerg; Hey, Rudolf; Santos, Paulo V. [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany); Iikawa, Fernando [Universidade Estadual de Campinas, IFGW, Campinas SP (Brazil)

    2007-07-01

    Mobile piezoelectric potentials are used to coherently transport electron spins in GaAs(110) quantum wells (QW) over distances exceeding 60{mu}m. We demonstrate that the dynamics of mobile spins under external magnetic fields depends on the direction of motion in the QW plane. The weak piezoelectric fields impart a non-vanishing average velocity to the carriers, allowing for the direct observation of the carrier momentum dependence of the spin polarization dynamics. While transport along [001] direction presents high in-plane spin relaxation rates, transport along [ anti 110] shows a much weaker external field dependence due to the non-vanishing internal magnetic field. We show that the anisotropy is an intrinsic property of the underling GaAs matrix, associated with the bulk inversion asymmetry contribution to the LS-coupling.

  15. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  16. Visible-light electroluminescence in Mn-doped GaAs light-emitting diodes

    International Nuclear Information System (INIS)

    Nam Hai, Pham; Maruo, Daiki; Tanaka, Masaaki

    2014-01-01

    We observed visible-light electroluminescence (EL) due to d-d transitions in light-emitting diodes with Mn-doped GaAs layers (here, referred to as GaAs:Mn). Besides the band-gap emission of GaAs, the EL spectra show two peaks at 1.89 eV and 2.16 eV, which are exactly the same as 4 A 2 ( 4 F) → 4 T 1 ( 4 G) and 4 T 1 ( 4 G) → 6 A 1 ( 6 S) transitions of Mn atoms doped in ZnS. The temperature dependence and the current-density dependence are consistent with the characteristics of d-d transitions. We explain the observed EL spectra by the p-d hybridized orbitals of the Mn d electrons in GaAs

  17. Radiation damages and electro-conductive characteristics of Neutron-Transmutation-Doped GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Kuriyama, Kazuo; Sato, Masataka; Sakai, Kiyohiro [Hosei Univ., Koganei, Tokyo (Japan). Coll. of Engineering; Okada, Moritami

    1996-04-01

    Neutron Transmutation Doping (NTD) method made it possible to do homogeneous doping of impurities and to easily control the doping level. Thus, the method has been put into practice for some materials such as silicon. Here, the annealing behavior of anti-site defects generated in neutron-irradiated GaAs was studied. Electric activations of NTD-impurities were started around 550degC in P1 and P2 radiation fields, which were coincident with the beginning of extinction of electron trapping which was caused by anti-site defects due to fast neutron radiation. The electric resistivities of GaAs in neutron radiation fields; P1, P2 and P3 changed depending with the annealing temperature. The electric resistivities of GaAs in P1 and P2 fields indicate the presence of hopping conduction through radiation damages. The resistance of GaAs irradiated in P1 was smaller by nearly 2 orders than that of the untreated control. Further, the electric activation process for NTD-impurities was investigated using ESR and Raman spectroscopy. (M.N.)

  18. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  19. UNDERFLIGHT CALIBRATION OF SOHO/CDS AND HINODE/EIS WITH EUNIS-07

    Energy Technology Data Exchange (ETDEWEB)

    Wang Tongjiang; Brosius, Jeffrey W. [Institute for Astrophysics and Computational Sciences (IACS) in the Department of Physics, Catholic University of America, 620 Michigan Avenue NE, Washington, DC 20064 (United States); Thomas, Roger J.; Rabin, Douglas M.; Davila, Joseph M. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, Peter R. [College of Science, George Mason University, 4400 University Drive, Fairfax, VA 22030 (United States); Del Zanna, Giulio, E-mail: tongjiang.wang@nasa.gov [DAMTP, Centre for Mathematical Sciences, University of Cambridge, Wilberforce Road, Cambridge, CB3 0WA (United Kingdom)

    2011-12-01

    Flights of Goddard Space Flight Center's Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS) sounding rocket in 2006 and 2007 provided updated radiometric calibrations for Solar and Heliospheric Observatory/Coronal Diagnostic Spectrometer (SOHO/CDS) and Hinode/Extreme Ultraviolet Imaging Spectrometer (Hinode/EIS). EUNIS carried two independent imaging spectrographs covering wavebands of 300-370 A in first order and 170-205 A in second order. After each flight, end-to-end radiometric calibrations of the rocket payload were carried out in the same facility used for pre-launch calibrations of CDS and EIS. During the 2007 flight, EUNIS, SOHO/CDS, and Hinode/EIS observed the same solar locations, allowing the EUNIS calibrations to be directly applied to both CDS and EIS. The measured CDS NIS 1 line intensities calibrated with the standard (version 4) responsivities with the standard long-term corrections are found to be too low by a factor of 1.5 due to the decrease in responsivity. The EIS calibration update is performed in two ways. One uses the direct calibration transfer of the calibrated EUNIS-07 short wavelength (SW) channel. The other uses the insensitive line pairs, in which one member was observed by the EUNIS-07 long wavelength (LW) channel and the other by EIS in either the LW or SW waveband. Measurements from both methods are in good agreement, and confirm (within the measurement uncertainties) the EIS responsivity measured directly before the instrument's launch. The measurements also suggest that the EIS responsivity decreased by a factor of about 1.2 after the first year of operation (although the size of the measurement uncertainties is comparable to this decrease). The shape of the EIS SW response curve obtained by EUNIS-07 is consistent with the one measured in laboratory prior to launch. The absolute value of the quiet-Sun He II 304 A intensity measured by EUNIS-07 is consistent with the radiance measured by CDS NIS in quiet regions

  20. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  1. Nuclear spin warm up in bulk n -GaAs

    Science.gov (United States)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  2. Amateurism in an Age of Professionalism: An Empirical Examination of an Irish Sporting Culture: The GAA

    Directory of Open Access Journals (Sweden)

    Ian Keeler

    2013-07-01

    This research study recommends that the GAA adopt an innovative approach, through strategic decision-making, to allow the GAA to maintain its amateur ethos, and, yet, successfully compete in the professional sporting market. The strong links with the community must be both nurtured and enhanced. The GAA and Gaelic games must embrace the challenges that the branding success of foreign sports has brought. Player welfare issues for the elite players must be addressed while continuing to protect the club and its amateur structures. The study looks at the key metrics that are required to evolve the GAA. This entails not only focusing on the perceived importance of the amateur ethos to the GAA, but also developing the marketing, branding and profiling of Gaelic games to enhance the performance of an amateur sporting organization in an era of increased professionalism in sport.

  3. Dynamical properties of tertiarybutylarsine on GaAs(0 0 1) surface

    CERN Document Server

    Ozeki, M; Tanaka, Y

    2002-01-01

    The dynamical properties of tertiarybutylarsine (TBA) was studied on GaAs(0 0 1) surface using a supersonic molecular beam. The temperature and incident energy dependence of the reflected beam revealed a reaction channel of TBA on GaAs surface with a large decrease in the activation energy from 2.7 to 1.8 eV as the incident energy increases from 0.04 to 2.5 eV.

  4. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  5. Doping assessment in GaAs nanowires

    DEFF Research Database (Denmark)

    Goktas, N. Isik; Fiordaliso, Elisabetta Maria; LaPierre, R. R.

    2018-01-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs...

  6. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  7. Kui õppimine ei edene / Tiia Lister

    Index Scriptorium Estoniae

    Lister, Tiia

    2006-01-01

    Soome Instituudis toimus 27. veebruaril õppepäev "Kui õppimine ei edene", et tutvustada, kuidas Soomes on nii riiklikul kui ka koolisisesel tasandil liigutud õpilasele kõige sobivama ja kasulikuma abistamisvormi otsingul

  8. How to Meet the Last OIE Expert Surveillance Panel Recommendations on Equine Influenza (EI Vaccine Composition: A Review of the Process Required for the Recombinant Canarypox-Based EI Vaccine

    Directory of Open Access Journals (Sweden)

    Romain Paillot

    2016-11-01

    Full Text Available Vaccination is highly effective to prevent, control, and limit the impact of equine influenza (EI, a major respiratory disease of horses. However, EI vaccines should contain relevant equine influenza virus (EIV strains for optimal protection. The OIE expert surveillance panel annually reviews EIV evolution and, since 2010, the use of Florida clade 1 and 2 sub-lineages representative vaccine strains is recommended. This report summarises the development process of a fully- updated recombinant canarypox-based EI vaccine in order to meet the last OIE recommendations, including the vaccine mode of action, production steps and schedule. The EI vaccine ProteqFlu contains 2 recombinant canarypox viruses expressing the haemagglutinin of the A/equine/Ohio/03 and A/equine/Richmond/1/07 isolates (Florida clade 1 and 2 sub-lineages, respectively. The updated EI vaccine was tested for efficacy against the representative Florida clade 2 EIV strain A/equine/Richmond/1/07 in the Welsh mountain pony model. Protective antibody response, clinical signs of disease and virus shedding were compared with unvaccinated control ponies. Significant protection was measured in vaccinated ponies, which supports the vaccine registration. The recombinant canarypox-based EI vaccine was the first fully updated EI vaccine available in the EU, which will help to minimise the increasing risk of vaccine breakdown due to constant EIV evolution through antigenic drift.

  9. Singularities of current-voltage characteristics of GaAs films fabricated by pulsed ions ablation

    International Nuclear Information System (INIS)

    Kabyshev, A.V.; Konusov, F.V.; Lozhnikov, S.N.; Remnev, G.E.; Saltymakov, M.S.

    2009-01-01

    A singularities and advantages of the optical, photoelectric and electrical properties of GaAs in comparison with other available materials for electronics, for example, silicon allow to manufacture on it base the devices having an advanced characteristics. The GaAs for electronics, obtained from the dense ablation plasma, possess some preferences as compared to material manufactured by traditional methods of vacuum deposition. The electrical characteristics of GaAs produced by chemical deposition were extensively studied. Purpose of this work is investigation the current-voltage characteristics of thin films of GaAs, deposited on polycrystalline corundum (polycor) from plasma forming the power ions bunch and determination of the thermal vacuum annealing effect on photoelectric and electrical properties of films. Peculiarities of optical, photoelectric and current-voltage characteristics of films obtained by ions ablation are determined by deposition conditions and resistance of initial target GaAs. The transitions between the states with low- and high conduction were revealed directly after deposition in films having the optical properties similar to amorphous materials and/or after annealing in films with properties similar to initial target GaAs. Behavior of current-voltage characteristics at vacuum annealing correlates with Schottky barrier height and photosensitivity and is accompanies of the transport mechanism change. The stable properties of films are formed at its dark conduction 10 -10 -10 -8 s and after annealing at T an =600-700 K. (authors)

  10. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  11. Why does self-reported emotional intelligence predict job performance? A meta-analytic investigation of mixed EI.

    Science.gov (United States)

    Joseph, Dana L; Jin, Jing; Newman, Daniel A; O'Boyle, Ernest H

    2015-03-01

    Recent empirical reviews have claimed a surprisingly strong relationship between job performance and self-reported emotional intelligence (also commonly called trait EI or mixed EI), suggesting self-reported/mixed EI is one of the best known predictors of job performance (e.g., ρ = .47; Joseph & Newman, 2010b). Results further suggest mixed EI can robustly predict job performance beyond cognitive ability and Big Five personality traits (Joseph & Newman, 2010b; O'Boyle, Humphrey, Pollack, Hawver, & Story, 2011). These criterion-related validity results are problematic, given the paucity of evidence and the questionable construct validity of mixed EI measures themselves. In the current research, we update and reevaluate existing evidence for mixed EI, in light of prior work regarding the content of mixed EI measures. Results of the current meta-analysis demonstrate that (a) the content of mixed EI measures strongly overlaps with a set of well-known psychological constructs (i.e., ability EI, self-efficacy, and self-rated performance, in addition to Conscientiousness, Emotional Stability, Extraversion, and general mental ability; multiple R = .79), (b) an updated estimate of the meta-analytic correlation between mixed EI and supervisor-rated job performance is ρ = .29, and (c) the mixed EI-job performance relationship becomes nil (β = -.02) after controlling for the set of covariates listed above. Findings help to establish the construct validity of mixed EI measures and further support an intuitive theoretical explanation for the uncommonly high association between mixed EI and job performance--mixed EI instruments assess a combination of ability EI and self-perceptions, in addition to personality and cognitive ability. PsycINFO Database Record (c) 2015 APA, all rights reserved.

  12. Neutron-damaged GaAs detectors for use in a Compton spectrometer

    International Nuclear Information System (INIS)

    Kammeraad, J.E.; Sale, K.E.; Wang, C.L.; Baltrusaitis, R.M.

    1992-01-01

    Detectors made of GaAs are being studies for use on the focal plane of a Compton spectrometer which measures 1-MeV to 25-MeV gamma rays with high energy resolution (1% or 100 keV, whichever is greater) and 200-ps time resolution. The detectors are GaAs chips that have been neutron-damaged to improve the time response. The detectors will be used to measure fast transient signals in the current mode. The properties of various GaAs detector configurations are being studied by bombarding sample detectors with short pulses of 4-MeV to 16-MeV electrons at the Linac Facility at EG ampersand G Energy Measurements, Inc., Santa Barbara Operations. Measurements of detector sensitivity and impulse response versus detector bias, thickness, and electron beam energy and intensity have been performed and are presented. 5 refs

  13. ITER TASK T252 (1995):Gamma radiation testing of a GaAs operational amplifier for instrument applications

    International Nuclear Information System (INIS)

    Hiemstra, D.

    1996-03-01

    The purpose of this 1995 ITER task was : to build an improved operational amplifier using GaAs MESFET technology, to build a reference voltage subcircuit using GaAs MESFET technology and to investigate the potential of GaAs HBT's to improve the noise performance of the GaAs MESFET operational amplifier. This work addresses the need for instrumentation-grade components to read sensors in an experimental fusion reactor, where the anticipated total dose for a useful service life is 3Grad(GaAs). It is an extension of our 1994 work. 3 tabs., 6 figs

  14. Basic mechanisms study for MIS solar cell structures on GaAs

    Science.gov (United States)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  15. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  16. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  17. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  18. Loeb või ei loe : mis teeb ITst tarbekauba? / Enrique Dans

    Index Scriptorium Estoniae

    Dans, Enrique

    2004-01-01

    Vastukajad ajakirja Think! 2003. aasta 2. numbris avaldatud Nicholas Carr'i artiklile "IT ei loe". Autor ei nõustu enamike N. Carr'i järeldustega IT-st kui tarbekaubast ja esitab IT innovatsiooni kolmetasemelise mudeli

  19. X-ray electron density distribution of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1986-01-01

    Using ten X-ray structure amplitudes of strong reflections and nine weak reflections both, the valence electron and the difference electron density distribution of GaAs, are calculated. The experimental data are corrected for anomalous dispersion using a bond charge model. The calculated plots are compared with up to now published band structure-based and semiempirically calculated density plots. Taking into account the experimental data of germanium, measured on the same absolute scale, the difference density between GaAs and Ge is calculated. This exhibits the charge transfer between both the f.c.c.-sublattices as well as both, the shift and the decrease of the bond charge, quite closely connected to the theoretical results published by Baur et al. (author)

  20. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  1. Scanning microwave microscopy applied to semiconducting GaAs structures

    Science.gov (United States)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  2. Tallinna Ülikool ei kiida riiki

    Index Scriptorium Estoniae

    2006-01-01

    Tallinna Ülikool teatas läbi kõneisiku, et juhtkonna otsusel ei laulda tänasel avaaktusel, kus osalevad keskerakondlased, üliõpilashümni "Gaudeamus" neljandat salmi - "Elagu vabariik ja need, kes teda juhivad"

  3. Engineering Information System (EIS)

    Science.gov (United States)

    1992-01-31

    be availabe and usefu for creating powerful tailored contro and mangeen functions. Mode and Framwork Wirth further elaboration of the EIS portio of...control data and activities of the engineering process. The EIM is a conceptual model of administrative and electroic design information. It records...of the access opeations are derived from the instance variable name and type. An attribute conceptually holds one or more instances of a basic type

  4. Simulated and experimental spectroscopic performance of GaAs X-ray pixel detectors

    International Nuclear Information System (INIS)

    Bisogni, M.G.; Cola, A.; Fantacci, M.E.

    2001-01-01

    In pixel detectors, the electrode geometry affects the signal shape and therefore the spectroscopic performance of the device. This effect is enhanced in semiconductors where carrier trapping is relevant. In particular, semi insulating (SI) GaAs crystals present an incomplete charge collection due to a high concentration of deep traps in the bulk. In the last few years, SI GaAs pixel detectors have been developed as soft X-ray detectors for medical imaging applications. In this paper, we present a numerical method to evaluate the local charge collection properties of pixel detectors. A bi-dimensional description has been used to represent the detector geometry. According to recent models, the active region of a reverse biased SI GaAs detector is almost neutral. Therefore, the electrostatic potential inside a full active detector has been evaluated using the Laplace equation. A finite difference method with a fixed step orthogonal mesh has been adopted. The photon interaction point has been generated with a Monte Carlo method according to the attenuation length of a monochromatic X-ray beam in GaAs. The number of photogenerated carriers for each interaction has been extracted using a gaussian distribution. The induced signal on the collecting electrode has been calculated according to the Ramo's theorem and the trapping effect has been modeled introducing electron and hole lifetimes. The noise of the charge preamplifier have been also taken into account. A comparison between simulated and experimental X-ray spectra from a 241 Am source acquired with different GaAs pixel detectors has been carried out

  5. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  6. Resistance Fluctuations in GaAs Nanowire Grids

    Directory of Open Access Journals (Sweden)

    Ivan Marasović

    2014-01-01

    Full Text Available We present a numerical study on resistance fluctuations in a series of nanowire-based grids. Each grid is made of GaAs nanowires arranged in parallel with metallic contacts crossing all nanowires perpendicularly. Electrical properties of GaAs nanowires known from previous experimental research are used as input parameters in the simulation procedure. Due to the nonhomogeneous doping, the resistivity changes along nanowire. Allowing two possible nanowire orientations (“upwards” or “downwards”, the resulting grid is partially disordered in vertical direction which causes resistance fluctuations. The system is modeled using a two-dimensional random resistor network. Transfer-matrix computation algorithm is used to calculate the total network resistance. It is found that probability density function (PDF of resistance fluctuations for a series of nanowire grids changes from Gaussian behavior towards the Bramwell-Holdsworth-Pinton distribution when both nanowire orientations are equally represented in the grid.

  7. A new structure for comparing surface passivation materials of GaAs solar cells

    Science.gov (United States)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  8. Interface design for CMOS-integrated Electrochemical Impedance Spectroscopy (EIS) biosensors.

    Science.gov (United States)

    Manickam, Arun; Johnson, Christopher Andrew; Kavusi, Sam; Hassibi, Arjang

    2012-10-29

    Electrochemical Impedance Spectroscopy (EIS) is a powerful electrochemical technique to detect biomolecules. EIS has the potential of carrying out label-free and real-time detection, and in addition, can be easily implemented using electronic integrated circuits (ICs) that are built through standard semiconductor fabrication processes. This paper focuses on the various design and optimization aspects of EIS ICs, particularly the bio-to-semiconductor interface design. We discuss, in detail, considerations such as the choice of the electrode surface in view of IC manufacturing, surface linkers, and development of optimal bio-molecular detection protocols. We also report experimental results, using both macro- and micro-electrodes to demonstrate the design trade-offs and ultimately validate our optimization procedures.

  9. Interface Design for CMOS-Integrated Electrochemical Impedance Spectroscopy (EIS Biosensors

    Directory of Open Access Journals (Sweden)

    Arjang Hassibi

    2012-10-01

    Full Text Available Electrochemical Impedance Spectroscopy (EIS is a powerful electrochemical technique to detect biomolecules. EIS has the potential of carrying out label-free and real-time detection, and in addition, can be easily implemented using electronic integrated circuits (ICs that are built through standard semiconductor fabrication processes. This paper focuses on the various design and optimization aspects of EIS ICs, particularly the bio-to-semiconductor interface design. We discuss, in detail, considerations such as the choice of the electrode surface in view of IC manufacturing, surface linkers, and development of optimal bio-molecular detection protocols. We also report experimental results, using both macro- and micro-electrodes to demonstrate the design trade-offs and ultimately validate our optimization procedures.

  10. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  11. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  12. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  13. Piinamise välistanud Rice ei täpsustanud, mis piinamine on / Ahto Lobjakas

    Index Scriptorium Estoniae

    Lobjakas, Ahto, 1970-

    2005-01-01

    USA välisminister Condoleezza Rice ütles Brüsselis, et USA võimud ei rakenda vangide piinamist ei kodu- ega välismaal. Samas ei selgitanud ta, mida USA loeb piinamiseks. NATO peasekretäri Jaap de Hoop Schefferi kommentaare

  14. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  15. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  16. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    Science.gov (United States)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  17. Nanoscale footprints of self-running gallium droplets on GaAs surface.

    Directory of Open Access Journals (Sweden)

    Jiang Wu

    Full Text Available In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001 surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems.

  18. Polaron binding energy and effective mass in the GaAs film

    International Nuclear Information System (INIS)

    Wu Zhenhua; Yan Liangxing; Tian Qiang; Li Hua; Liu Bingcan

    2012-01-01

    The binding energy and effective mass of a polaron in a GaAs film deposited on the Al 0.3 Ga 0.7 As substrate are studied theoretically by using the fractional-dimensional space approach. Our calculations show that the polaron binding energy and mass shift decrease monotonously with increasing the film thickness. For the film thicknesses with L w ≤ 70Å and the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness influence the polaron binding energy and mass shift in the GaAs film. The polaron binding energy and mass shift increase monotonously with increasing the substrate thickness. For the film thickness with L w ≥ 70Å or the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness have no significant influence on the polaron binding energy and mass shift in the GaAs film deposited on the Al 0.3 Ga 0.7 As substrate.

  19. Sulfidic photochemical passivation of GaAs surfaces in alcoholic solutions

    International Nuclear Information System (INIS)

    Simonsmeier, T.; Ivankov, A.; Bauhofer, W.

    2005-01-01

    We report on a remarkable enhancement of the passivation effect of sulfidic solutions through illumination with above band gap light. Luminescence measurements on GaAs surfaces which have been illuminated during chemical passivation reveal in comparison to nonilluminated samples a further reduction of their surface density of states as well as a significantly increased stability of the passivation. Investigations with photoelectron spectroscopy show that illumination leads to a nearly complete removal of oxides on the surface. Measurements on Schottky diodes which have been manufactured with photochemically passivated GaAs indicate a noticeable decrease in band bending and a depinning of the Fermi level

  20. Pulse GaAs field transistor amplifier with subnanosecond time transient

    International Nuclear Information System (INIS)

    Sidnev, A.N.

    1987-01-01

    Pulse amplifier on fast field effect GaAs transistors with Schottky barrier is described. The amplifier contains four cascades, the first three of which are made on combined transistors on the common-drain circuit. The last cascade is made on high-power field effect GaAs transistor for coordination with 50 ohm load. The amplifier operates within the range of input signals from 0.5 up to 100 mV with repetition frequency up to 16 Hz, The gain of the amplifier is ≅ 20 dB. The setting time at output pulses amplitude up to 1 V constitutes ∼ 0.2 ns

  1. Modeling and Design of Graphene GaAs Junction Solar Cell

    Directory of Open Access Journals (Sweden)

    Yawei Kuang

    2015-01-01

    Full Text Available Graphene based GaAs junction solar cell is modeled and investigated by Silvaco TCAD tools. The photovoltaic behaviors have been investigated considering structure and process parameters such as substrate thickness, dependence between graphene work function and transmittance, and n-type doping concentration in GaAs. The results show that the most effective region for photo photogenerated carriers locates very close to the interface under light illumination. Comprehensive technological design for junction yields a significant improvement of power conversion efficiency from 0.772% to 2.218%. These results are in good agreement with the reported experimental work.

  2. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  3. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  4. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  5. Uuring : neljandik elanikke ei tea Ilvese tegevusest midagi / Dannar Leitmaa

    Index Scriptorium Estoniae

    Leitmaa, Dannar, 1982-

    2007-01-01

    Uuringufirma Faktum & Ariko korraldatud küsitlusest selgus, et 23 % elanikest ei oska öelda, millega on president Toomas Hendrik Ilves viimase aasta jooksul silma paistnud. Eesti Päevalehe poolt tellitud küsitluse tulemusi kommenteerib politoloog Rein Toomla. Ilmunud ka: Harju Ekspress 16. nov. 2007, lk. 2, pealk.: Presidendi tegevusest ei tea iga neljas eestimaalane midagi (lüh.)

  6. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  7. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  8. Experimental studies of the charge limit phenomenon in NEA GaAs photocathodes

    International Nuclear Information System (INIS)

    Tang, H.; Alley, R.K.; Aoyagi, H.; Clendenin, J.E.; Frisch, J.C.; Mulhollan, G.A.; Saez, P.J.; Schultz, D.C.; Turner, J.L.

    1994-06-01

    Negative electron affinity GaAs photocathodes have been in continuous use at SLAC for generating polarized electron beams since early 1992. If the quantum efficiency of a GaAs cathode is below a critical value, the maximum photoemitted charge with photons of energies close to the band gap in a 2-ns pulse is found to be limited by the intrinsic properties of the cathode instead of by the space charge limit. We have studied this novel charge limit phenomenon in a variety of GaAs photocathodes of different structures and doping densities. We find that the charge limit is strongly dependent on the cathode's quantum efficiency and the extraction electric field, and to a lesser degree on the excitation laser wavelength. In addition, we show that the temporal behavior of the charge limit depends critically on the doping density

  9. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  10. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  11. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  12. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  13. Euroopa põllumehed ei taha muutusi

    Index Scriptorium Estoniae

    2008-01-01

    Euroopa põllumajandusühistuid koondava ühenduse COGECA ja Euroopa põllumeeste suurima esindusorganisatsiooni COPA seisukoht on, et praegu ei ole õige aeg võtta Euroopa põllumehelt tootmiseks ette nähtud toetust ning suunata see ümber maaelu arenguks

  14. "Live 8" tähti ei auhinnata

    Index Scriptorium Estoniae

    2006-01-01

    Briti muusikapreemia Brit Awards žürii ei võtnud parima kontsertesituse auhinnapretendentide nimekirja ansamblit Pink Floyd ja lauljat Robbie Williamsi, kuna nende 20-minutiline esitus polevat auhinna saamiseks veenev

  15. Emotional intelligence (EI) and nursing leadership styles among nurse managers.

    Science.gov (United States)

    Tyczkowski, Brenda; Vandenhouten, Christine; Reilly, Janet; Bansal, Gaurav; Kubsch, Sylvia M; Jakkola, Raelynn

    2015-01-01

    Less than 12.5% of nurses aspire to leadership roles, noting lack of support and stress as major factors in their decision not to pursue this area of practice. Psychological resiliency, described as the ability to properly adapt to stress and adversity, is key to successful nurse managers. Emotional intelligence (EI) is a related concept to resiliency and is another noteworthy predictor of leadership and management success. This study was undertaken to determine the level of and relationship between EI and leadership style of nurse managers employed in Wisconsin and Illinois facilities. A descriptive, exploratory study design was utilized, with a convenience sample of nurse managers working in 6 large Midwestern health systems. Nurse managers were invited to participate in the study by their employer, completing the online consent form and the demographic, Multifactor Leadership Questionnaire (MLQ) Form 5X and the Emotional Quotient Inventory (EQ-i 2.0) surveys. Statistically significant positive relationships were noted between EI and transformational leadership and the outcomes of leadership (extra effort, effectiveness, and satisfaction). No statistically significant relationships were noted between EI and transactional or laissez-faire leadership styles.

  16. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  17. The EIS beamline at the seeded free-electron laser FERMI

    Science.gov (United States)

    Simoncig, A.; Mincigrucci, R.; Principi, E.; Bencivenga, F.; Calvi, A.; Foglia, L.; Kurdi, G.; Raimondi, L.; Manfredda, M.; Mahne, N.; Gobessi, R.; Gerusina, S.; Fava, C.; Zangrando, M.; Matruglio, A.; Dal Zilio, S.; Masciotti, V.; Masciovecchio, C.

    2017-05-01

    Among the fourth-generation light sources, the Italian free-electron laser (FEL) FERMI is the only one operating in the high-gain harmonic generation (HGHG) seeding mode. FERMI delivers pulses characterized by a quasi transform limited temporal structure, photon energies lying in the extreme ultra-violet (EUV) region, supreme transversal and longitudinal coherences, high peak brilliance, and full control of the polarization. Such state of the art performances recently opened the doors to a new class of time-resolved spectroscopies, difficult or even impossible to be performed using self-amplified spontaneous sources (SASE) light sources. FERMI is currently equipped with three operating beamlines opened to external users (DiProI, LDM and EIS), while two more are under commissioning (MagneDYN and TeraFERMI). Here, we present the recent highlights of the EIS (Elastic and Inelastic Scattering) beamline, which has been purposely designed to take full advantage from the coherence, the intensity, the harmonics content, and the temporal duration of the pulses. EIS is a flexible experimental facility for time-resolved EUV scattering experiments on condensed matter systems, consisting of two independent end-stations. The first one (EIS-TIMEX) aims to study materials in metastable and warm dense matter (WDM) conditions, while the second end-station (EIS-TIMER) is fully oriented to the extension of four-wave mixing (FWM) spectroscopies towards the EUV spectral regions, trying to reveal the behavior of matter in portions of the mesoscopic regime of exchanged momentum impossible to be probed using conventional light sources.

  18. "Kurgibakter" ei tulnudki importkurgiga? / Kaivo Kopli

    Index Scriptorium Estoniae

    Kopli, Kaivo

    2011-01-01

    Nakkuspuhang Saksamaal ei pruugi olla põhjustatud Hispaaniast toodud kurkidest. Mitmed riigid on peatanud kurkide ja mõnede muude köögiviljade impordi Hispaaniast, Hispaania tahab nõuda Euroopa Komijonilt kompensatsiooni müümata jäänud köögiviljade eest

  19. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  20. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    Science.gov (United States)

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  1. "Kui Eesti ütleb gaasitorule ei, võib ka Rootsi öelda ei" / Carl B. Hamilton ; interv. Argo Ideon

    Index Scriptorium Estoniae

    Hamilton, Carl B.

    2007-01-01

    Nord Stream on avaldanud soovi gaasijuhtme teenindusplatvormi rajamiseks Gotlandi juurde. Parlamendiliikme hinnangul peaks Rootsi valitsus ütlema ei nii teenindusplatvormile kui ka gaasitorule. Gaasijuhe on tema sõnul Venemaa projekt

  2. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  3. Retrospective evaluation of exposure index (EI) values from plain radiographs reveals important considerations for quality improvement

    Energy Technology Data Exchange (ETDEWEB)

    Mothiram, Ursula; Brennan, Patrick C; Robinson, John; Lewis, Sarah J [Medical Imaging Optimisation and Perception Group (MIOPeG), Discipline of Medical Radiation Sciences, Faculty of Health Science, University of Sydney, Sydney (Australia); Moran, Bernadette [Department of Clinical Medicine, Trinity College Dublin, Dublin (Ireland); Medical Imaging Optimisation and Perception Group (MIOPeG), Discipline of Medical Radiation Sciences, Faculty of Health Science, University of Sydney, Sydney (Australia)

    2013-12-15

    Following X-ray exposure, radiographers receive immediate feedback on detector exposure in the form of the exposure index (EI). To identify whether radiographers are meeting manufacturer-recommended EI (MREI) ranges for routine chest, abdomen and pelvis X-ray examinations under a variety of conditions and to examine factors affecting the EI. Data on 5000 adult X-ray examinations including the following variables were collected: examination parameters, EI values, patient gender, date of birth, date and time of examination, grid usage and the presence of implant or prosthesis. Descriptive statistics were used to summarize each data set and the Mann–Whitney U test was used to determine significant differences, with P < 0.05 indicating significance for all tests. Most examinations demonstrated EI values that were outside the MREI ranges, with significantly higher median EI values recorded for female patient radiographs than those for male patients for all manufacturers, indicating higher detector exposures for all units except for Philips digital radiography (DR), where increased EI values indicate lower exposure (P = 0.01). Median EI values for out of hours radiography were also significantly higher compared with normal working hours for all technologies (P ≤ 0.02). Significantly higher median EI values were demonstrated for Philips DR chest X-rays without as compared to those with the employment of a grid (P = 0.03), while significantly lower median EI values were recorded for Carestream Health computed radiography (CR) chest X-rays when an implant or prosthesis was present (P = 0.02). Non-adherence to MREIs has been demonstrated with EI value discrepancies being dependent on patient gender, time/day of exposure, grid usage and the presence of an implant or prosthesis. Retrospective evaluation of EI databases is a valuable tool to assess the need of quality improvement in routine DR.

  4. Retrospective evaluation of exposure index (EI) values from plain radiographs reveals important considerations for quality improvement

    International Nuclear Information System (INIS)

    Mothiram, Ursula; Brennan, Patrick C; Robinson, John; Lewis, Sarah J; Moran, Bernadette

    2013-01-01

    Following X-ray exposure, radiographers receive immediate feedback on detector exposure in the form of the exposure index (EI). To identify whether radiographers are meeting manufacturer-recommended EI (MREI) ranges for routine chest, abdomen and pelvis X-ray examinations under a variety of conditions and to examine factors affecting the EI. Data on 5000 adult X-ray examinations including the following variables were collected: examination parameters, EI values, patient gender, date of birth, date and time of examination, grid usage and the presence of implant or prosthesis. Descriptive statistics were used to summarize each data set and the Mann–Whitney U test was used to determine significant differences, with P < 0.05 indicating significance for all tests. Most examinations demonstrated EI values that were outside the MREI ranges, with significantly higher median EI values recorded for female patient radiographs than those for male patients for all manufacturers, indicating higher detector exposures for all units except for Philips digital radiography (DR), where increased EI values indicate lower exposure (P = 0.01). Median EI values for out of hours radiography were also significantly higher compared with normal working hours for all technologies (P ≤ 0.02). Significantly higher median EI values were demonstrated for Philips DR chest X-rays without as compared to those with the employment of a grid (P = 0.03), while significantly lower median EI values were recorded for Carestream Health computed radiography (CR) chest X-rays when an implant or prosthesis was present (P = 0.02). Non-adherence to MREIs has been demonstrated with EI value discrepancies being dependent on patient gender, time/day of exposure, grid usage and the presence of an implant or prosthesis. Retrospective evaluation of EI databases is a valuable tool to assess the need of quality improvement in routine DR

  5. The Application of the EIS in Li-ion Batteries Measurement

    Science.gov (United States)

    Zhai, N. S.; Li, M. W.; Wang, W. L.; Zhang, D. L.; Xu, D. G.

    2006-10-01

    The measurement and determination of the lithium ion battery's electrochemical impedance spectroscopy (EIS) and the application of EIS to battery classification are researched in this paper. The lithium ion battery gets extensive applications due to its inherent advantages over other batteries. For proper and sustainable performance, it is very necessary to check the uniformity of the lithium ion batteries. In this paper, the equivalent circuit of the lithium ion battery is analyzed; the design of hardware circuit based on DSP and software that calculates the EIS of the lithium ion battery is critically done and evaluated. The parameters of the lithium ion equivalent circuit are determined, the parameter values of li-ion equivalent circuit are achieved by least square method, and the application of Principal Component Analysis (CPA) to the battery classification is analyzed.

  6. The Application of the EIS in Li-ion Batteries Measurement

    International Nuclear Information System (INIS)

    Zhai, N S; Li, M W; Wang, W L; Zhang, D L; Xu, D G

    2006-01-01

    The measurement and determination of the lithium ion battery's electrochemical impedance spectroscopy (EIS) and the application of EIS to battery classification are researched in this paper. The lithium ion battery gets extensive applications due to its inherent advantages over other batteries. For proper and sustainable performance, it is very necessary to check the uniformity of the lithium ion batteries. In this paper, the equivalent circuit of the lithium ion battery is analyzed; the design of hardware circuit based on DSP and software that calculates the EIS of the lithium ion battery is critically done and evaluated. The parameters of the lithium ion equivalent circuit are determined, the parameter values of li-ion equivalent circuit are achieved by least square method, and the application of Principal Component Analysis (CPA) to the battery classification is analyzed

  7. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    Science.gov (United States)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  8. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  9. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  10. Radiation-induced effects in GaAs thin-film optical (10.6 μm) waveguides

    International Nuclear Information System (INIS)

    Share, S.; Epstein, A.S.; Monse, T.; Chang, W.S.C.; Chang, M.S.

    1976-01-01

    Two types of GaAs thin-film optical waveguide structures operating at 10.6 μm were examined before and after exposure to neutron and γ irradiation. The attenuation rate of the GaAs/n + -GaAs structure was particularly sensitive to neutron irradiation of 10 13 cm -2 and exhibited postirradiation annealing at 150 0 C. This is in contrast to the relative neutron irradiation insensitivity of a GaAs/GaAs 1 /sub -//subx/P/subx//n + -GaAs structure. The effect of γ radiation is less pronounced for both structures. The radiation-induced changes are discussed in terms of free-carrier absorption, index of refraction, scattering centers, and absorption by complexes

  11. Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)

    2016-11-15

    Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.

  12. Current source enhancements in Electrical Impedance Spectroscopy (EIS) to cancel unwanted capacitive effects

    Science.gov (United States)

    Zarafshani, Ali; Bach, Thomas; Chatwin, Chris; Xiang, Liangzhong; Zheng, Bin

    2017-03-01

    Electrical Impedance Spectroscopy (EIS) has emerged as a non-invasive imaging modality to detect and quantify functional or electrical properties related to the suspicious tumors in cancer screening, diagnosis and prognosis assessment. A constraint on EIS systems is that the current excitation system suffers from the effects of stray capacitance having a major impact on the hardware subsystem as the EIS is an ill-posed inverse problem which depends on the noise level in EIS measured data and regularization parameter in the reconstruction algorithm. There is high complexity in the design of stable current sources, with stray capacitance reducing the output impedance and bandwidth of the system. To confront this, we have designed an EIS current source which eliminates the effect of stray capacitance and other impacts of the capacitance via a variable inductance. In this paper, we present a combination of operational CCII based on a generalized impedance converter (OCCII-GIC) with a current source. The aim of this study is to use the EIS system as a biomedical imaging technique, which is effective in the early detection of breast cancer. This article begins with the theoretical description of the EIS structure, current source topologies and proposes a current conveyor in application of a Gyrator to eliminate the current source limitations and its development followed by simulation and experimental results. We demonstrated that the new design could achieve a high output impedance over a 3MHz frequency bandwidth when compared to other types of GIC circuits combined with an improved Howland topology.

  13. Heat load of a GaAs photocathode in an SRF electron gun

    International Nuclear Information System (INIS)

    Wang Erdong; Zhao Kui; Jorg Kewisch; Ilan Ben-Zvi; Andrew Burrill; Trivini Rao; Wu Qiong; Animesh Jain; Ramesh Gupta; Doug Holmes

    2011-01-01

    A great deal of effort has been made over the last decades to develop a better polarized electron source for high energy physics. Several laboratories operate DC guns with a gallium arsenide photocathode, which yield a highly polarized electron beam. However, the beam's emittance might well be improved by using a superconducting radio frequency (SRF) electron gun, which delivers beams of a higher brightness than that from DC guns because the field gradient at the cathode is higher. SRF guns with metal and CsTe cathodes have been tested successfully. To produce polarized electrons, a Gallium-Arsenide photo-cathode must be used: an experiment to do so in a superconducting RF gun is under way at BNL. Since a bulk gallium arsenide (GaAs) photocathode is normal conducting, a problem arises from the heat load stemming from the cathode. We present our measurements of the electrical resistance of GaAs at cryogenic temperatures, a prediction of the heat load and verification by measuring the quality factor of the gun with and without the cathode at 2 K. We simulate heat generation and flow from the GaAs cathode using the ANSYS program. By following the findings with the heat load model, we designed and fabricated a new cathode holder (plug) to decrease the heat load from GaAs. (authors)

  14. Antisense Oligonucleotides Promote Exon Inclusion and Correct the Common c.-32-13T>G GAA Splicing Variant in Pompe Disease

    Directory of Open Access Journals (Sweden)

    Erik van der Wal

    2017-06-01

    Full Text Available The most common variant causing Pompe disease is c.-32-13T>G (IVS1 in the acid α-glucosidase (GAA gene, which weakens the splice acceptor of GAA exon 2 and induces partial and complete exon 2 skipping. It also allows a low level of leaky wild-type splicing, leading to a childhood/adult phenotype. We hypothesized that cis-acting splicing motifs may exist that could be blocked using antisense oligonucleotides (AONs to promote exon inclusion. To test this, a screen was performed in patient-derived primary fibroblasts using a tiling array of U7 small nuclear RNA (snRNA-based AONs. This resulted in the identification of a splicing regulatory element in GAA intron 1. We designed phosphorodiamidate morpholino oligomer-based AONs to this element, and these promoted exon 2 inclusion and enhanced GAA enzyme activity to levels above the disease threshold. These results indicate that the common IVS1 GAA splicing variant in Pompe disease is subject to negative regulation, and inhibition of a splicing regulatory element using AONs is able to restore canonical GAA splicing and endogenous GAA enzyme activity.

  15. Sulfonamide-Based Inhibitors of Aminoglycoside Acetyltransferase Eis Abolish Resistance to Kanamycin in Mycobacterium tuberculosis

    Energy Technology Data Exchange (ETDEWEB)

    Garzan, Atefeh; Willby, Melisa J.; Green, Keith D.; Gajadeera, Chathurada S.; Hou, Caixia; Tsodikov, Oleg V.; Posey, James E.; Garneau-Tsodikova, Sylvie

    2016-12-08

    A two-drug combination therapy where one drug targets an offending cell and the other targets a resistance mechanism to the first drug is a time-tested, yet underexploited approach to combat or prevent drug resistance. By high-throughput screening, we identified a sulfonamide scaffold that served as a pharmacophore to generate inhibitors of Mycobacterium tuberculosis acetyltransferase Eis, whose upregulation causes resistance to the aminoglycoside (AG) antibiotic kanamycin A (KAN) in Mycobacterium tuberculosis. Rational systematic derivatization of this scaffold to maximize Eis inhibition and abolish the Eis-mediated KAN resistance of M. tuberculosis yielded several highly potent agents. A crystal structure of Eis in complex with one of the most potent inhibitors revealed that the inhibitor bound Eis in the AG-binding pocket held by a conformationally malleable region of Eis (residues 28–37) bearing key hydrophobic residues. These Eis inhibitors are promising leads for preclinical development of innovative AG combination therapies against resistant TB.

  16. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  17. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  18. The Application of the EIS in Li-ion Batteries Measurement

    Energy Technology Data Exchange (ETDEWEB)

    Zhai, N S [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen (China); Li, M W [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen (China); Wang, W L [Shenzhen BPL instrument Ltd., Shenzhen (China); Zhang, D L [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen (China); Xu, D G [Electrical Engineering and Automation School, Harbin Institute of Technology, Harbin (China)

    2006-10-15

    The measurement and determination of the lithium ion battery's electrochemical impedance spectroscopy (EIS) and the application of EIS to battery classification are researched in this paper. The lithium ion battery gets extensive applications due to its inherent advantages over other batteries. For proper and sustainable performance, it is very necessary to check the uniformity of the lithium ion batteries. In this paper, the equivalent circuit of the lithium ion battery is analyzed; the design of hardware circuit based on DSP and software that calculates the EIS of the lithium ion battery is critically done and evaluated. The parameters of the lithium ion equivalent circuit are determined, the parameter values of li-ion equivalent circuit are achieved by least square method, and the application of Principal Component Analysis (CPA) to the battery classification is analyzed.

  19. GaAs nanowire array solar cells with axial p-i-n junctions.

    Science.gov (United States)

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  20. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  1. An ultra-high-speed direct digital frequency synthesizer implemented in GaAs HBT technology

    International Nuclear Information System (INIS)

    Chen Gaopeng; Wu Danyu; Jin Zhi; Liu Xinyu

    2010-01-01

    This paper presents a 10-GHz 8-bit direct digital synthesizer (DDS) microwave monolithic integrated circuit implemented in 1 μm GaAs HBT technology. The DDS takes a double-edge-trigger (DET) 8-stage pipeline accumulator with sine-weighted DAC-based ROM-less architecture, which can maximize the utilization ratio of the GaAs HBT's high-speed potential. With an output frequency up to 5 GHz, the DDS gives an average spurious free dynamic range of 23.24 dBc through the first Nyquist band, and consumes 2.4 W of DC power from a single -4.6 V DC supply. Using 1651 GaAs HBT transistors, the total area of the DDS chip is 2.4 x 2.0 mm 2 . (semiconductor integrated circuits)

  2. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  3. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  4. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  5. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  6. Imaging performance of a Timepix detector based on semi-insulating GaAs

    Science.gov (United States)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  7. Picosecond relaxation of X-ray excited GaAs

    Czech Academy of Sciences Publication Activity Database

    Tkachenko, V.; Medvedev, Nikita; Lipp, V.; Ziaja, B.

    2017-01-01

    Roč. 24, Sep (2017), s. 15-21 ISSN 1574-1818 Institutional support: RVO:68378271 Keywords : GaAS * X-ray excitation * picosecond relaxation Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 0.908, year: 2016

  8. Base excision repair of chemotherapeutically-induced alkylated DNA damage predominantly causes contractions of expanded GAA repeats associated with Friedreich's ataxia.

    Directory of Open Access Journals (Sweden)

    Yanhao Lai

    Full Text Available Expansion of GAA·TTC repeats within the first intron of the frataxin gene is the cause of Friedreich's ataxia (FRDA, an autosomal recessive neurodegenerative disorder. However, no effective treatment for the disease has been developed as yet. In this study, we explored a possibility of shortening expanded GAA repeats associated with FRDA through chemotherapeutically-induced DNA base lesions and subsequent base excision repair (BER. We provide the first evidence that alkylated DNA damage induced by temozolomide, a chemotherapeutic DNA damaging agent can induce massive GAA repeat contractions/deletions, but only limited expansions in FRDA patient lymphoblasts. We showed that temozolomide-induced GAA repeat instability was mediated by BER. Further characterization of BER of an abasic site in the context of (GAA20 repeats indicates that the lesion mainly resulted in a large deletion of 8 repeats along with small expansions. This was because temozolomide-induced single-stranded breaks initially led to DNA slippage and the formation of a small GAA repeat loop in the upstream region of the damaged strand and a small TTC loop on the template strand. This allowed limited pol β DNA synthesis and the formation of a short 5'-GAA repeat flap that was cleaved by FEN1, thereby leading to small repeat expansions. At a later stage of BER, the small template loop expanded into a large template loop that resulted in the formation of a long 5'-GAA repeat flap. Pol β then performed limited DNA synthesis to bypass the loop, and FEN1 removed the long repeat flap ultimately causing a large repeat deletion. Our study indicates that chemotherapeutically-induced alkylated DNA damage can induce large contractions/deletions of expanded GAA repeats through BER in FRDA patient cells. This further suggests the potential of developing chemotherapeutic alkylating agents to shorten expanded GAA repeats for treatment of FRDA.

  9. Linnugripp ei ole biorelv / Kevin Probert-Ehaver

    Index Scriptorium Estoniae

    Probert-Ehaver, Kevin

    2008-01-01

    Vastukaja 10. juulil Eesti Ekspressis ilmunud artiklile "Linnugripp - surm katseklaasist", milles väidetakse, et linnugripp ning teised uued nakkushaigused on biorelvad. Kriisireguleerimise konsultant selgitab, et nii Eesti kui ka Ameerika spetsialistide hinnangul ei ole linnugripp kuidagi seotud biorelvade programmiga

  10. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  11. Subnanosecond, high-voltage photoconductive switching in GaAs

    Science.gov (United States)

    Druce, Robert L.; Pocha, Michael D.; Griffin, Kenneth L.; O'Bannon, Jim

    1991-03-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating highpower microwaves (HPM) and for high reprate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanchelike mode (the optical pulse only controls switch closing) . Operating in the unear mode we have observed switch closing times of less than 200 Ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lockon modes high fields are switched with lower laser pulse energies resulting in higher efficiencies but with measurable switching delay and jitter. We are currently investigating both large area (1 cm2) and small area 1 mm2) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1. 06 tim.

  12. Sn nanothreads in GaAs: experiment and simulation

    Science.gov (United States)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  13. A User's Guide to the SNF ampersand INEL EIS

    International Nuclear Information System (INIS)

    1995-01-01

    This User's Guide is intended to help you find information in the SNF and INEL EIS (that's short for US Department of Energy Programmatic Spent Nuclear Fuel Management and Idaho National Engineering Laboratory Environmental Restoration and Waste Management Programs Final Environmental Impact Statement). The first section of this Guide gives you a brief overview of the SNF ampersand INEL EIS., The second section is organized to help you find specific information in the Environmental Impact Statement -- whether you're interested in a management alternative, a particular site (such as Hanford), or a discipline (such as land use or water quality)

  14. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the Lock-On'' phenomena could occur in the device.

  15. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the ``Lock-On`` phenomena could occur in the device.

  16. GaAs thin film solar cells. Final report; Duennschicht-Solarzellen aus Galliumarsenid; Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bett, A.; Bronner, W.; Cardona, S.; Ehrhardt, A.; Habermann, G.; Habich, A.; Lanyi, P.; Lutz, F.; Nguyen, T.; Schetter, C.; Sulima, O.; Welter, H.; Yavas, O.

    1992-11-01

    This R and D project focused on the development of materials and technologies for the production of GaAs solar cells on GaAs and other substrates. Three subjects were gone into on particular: Material preparation (epitaxy), solar cell technology, characterisation of materials and processes. (orig.) [Deutsch] Das vorliegende Forschungsvorhaben hatte die Material- und Technologieentwickung fuer die Herstellung von GaAs-Solarzellen auf Eigen- und Fremdsubstrat zum Gegenstand. Drei Hauptaufgabenbereiche waren: Materialpraeparation (Epitaxie), Solarzellentechnologie, sowie Material- und Prozesscharakterisierung. (orig.)

  17. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  18. Jack Deverell : NATO ei kao kuhugi / Jack Deverell ; interv. Margus Kolga

    Index Scriptorium Estoniae

    Deverell, Jack

    2005-01-01

    NATO põhjapiirkonna vägede endise juhi arvates võiks NATO olla endiselt peamine sõjalise jõu instrument, olgu tegemis siis NATO või EL-i juhitud sõjalise operatsiooniga, ta ei usu, et NATO ja EL muutuvad sõjaliselt ning poliitiliselt omavahel võistlevateks organisatsioonideks. Riigid peaksid tegutsema koos selliste sõjaliste võimete loomisel, milleks nad üksi suutelised ei ole

  19. Removal of NO {sub x} by microwave reactor with ammonium bicarbonate and Ga-A zeolites at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Z.S. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)]. E-mail: weizaishan98@163.com; Du, Z.Y. [School of Light Industry and Chemical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Lin, Z.H. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); He, H.M. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Qiu, R.L. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2007-08-15

    Microwave reactor with the mixture of ammonium bicarbonate (NH{sub 4}HCO{sub 3}) and Ga-A zeolites was set up to study the removal of nitrogen oxides (NO {sub x} ) from waste gas with excess oxygen concentration (14-19%) at low temperature (80-120 deg. C). The results showed that the microwave reactor filled with NH{sub 4}HCO{sub 3} and Ga-A zeolites could reduce NO {sub x} to nitrogen with the best purifying efficiency of 95.45% and the best denitrification amount of 89.28 mg h{sup -1}. The optimal microwave power and residence time (RT) on denitrification was 259-280 W and 0.259 s, respectively. Microwave denitrification effect of the experiment using ammonium bicarbonate and Ga-A zeolites was much higher than that using ammonium bicarbonate or Ga-A zeolites only. The mechanism for microwave-induced NO {sub x} reduction can be explained as the microwave-induced catalytic reaction between NO {sub x} and ammonium bicarbonate with Ga-A zeolites being the catalyst and microwave absorbent.

  20. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  1. Sensitivity of GC-EI/MS, GC-EI/MS/MS, LC-ESI/MS/MS, LC-Ag(+) CIS/MS/MS, and GC-ESI/MS/MS for analysis of anabolic steroids in doping control.

    Science.gov (United States)

    Cha, Eunju; Kim, Sohee; Kim, Ho Jun; Lee, Kang Mi; Kim, Ki Hun; Kwon, Oh-Seung; Lee, Jaeick

    2015-01-01

    This study compared the sensitivity of various separation and ionization methods, including gas chromatography with an electron ionization source (GC-EI), liquid chromatography with an electrospray ionization source (LC-ESI), and liquid chromatography with a silver ion coordination ion spray source (LC-Ag(+) CIS), coupled to a mass spectrometer (MS) for steroid analysis. Chromatographic conditions, mass spectrometric transitions, and ion source parameters were optimized. The majority of steroids in GC-EI/MS/MS and LC-Ag(+) CIS/MS/MS analysis showed higher sensitivities than those obtained with other analytical methods. The limits of detection (LODs) of 65 steroids by GC-EI/MS/MS, 68 steroids by LC-Ag(+) CIS/MS/MS, 56 steroids by GC-EI/MS, 54 steroids by LC-ESI/MS/MS, and 27 steroids by GC-ESI/MS/MS were below cut-off value of 2.0 ng/mL. LODs of steroids that formed protonated ions in LC-ESI/MS/MS analysis were all lower than the cut-off value. Several steroids such as unconjugated C3-hydroxyl with C17-hydroxyl structure showed higher sensitivities in GC-EI/MS/MS analysis relative to those obtained using the LC-based methods. The steroids containing 4, 9, 11-triene structures showed relatively poor sensitivities in GC-EI/MS and GC-ESI/MS/MS analysis. The results of this study provide information that may be useful for selecting suitable analytical methods for confirmatory analysis of steroids. Copyright © 2015 John Wiley & Sons, Ltd.

  2. Challenges and Possibilities of EIS on PEMEC

    DEFF Research Database (Denmark)

    Elsøe, Katrine; Kraglund, Mikkel Rykær; Hjelm, Johan

    2017-01-01

    Electrochemical impedance spectroscopy (EIS) has been proven a very strong electrochemical characterization tool in electrochemical research in general and in the areas of fuel cell and battery research in particular. However, this is not the case for polymer electrolyte membrane electrolysis cells...

  3. E-I 90. Proceedings. V. 2

    International Nuclear Information System (INIS)

    1990-01-01

    The developments and technology trends taking place in the power industry in South Africa were examined at the E-I 90 conference. Some of the developments in local nuclear power technology were also discussed. Separate abstracts were prepared for five of the papers presented at the conference. The remaining papers were considered outside the subject scope of INIS

  4. Expanding entrepreneurial, innovative and sustainable (EIS) ecosystems: A cultural-historical activity theory perspective

    NARCIS (Netherlands)

    Audhoe, Romano; Thompson, N.A.; Verduyn, Karen; Leitão, João; Alves, Helena; Krueger, Norris; Park, Jacob

    2018-01-01

    The value of Entrepreneurial, Innovative and Sustainable (EIS) ecosystems has seen increasing recognition from policymakers and researchers alike. Like-minded policymakers employing New Public Management (NPM) understand that the intricate links between diverse EIS stakeholders play a vital role in

  5. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  6. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  7. The lower yield point of InP and GaAs

    International Nuclear Information System (INIS)

    Siethoff, H.

    1987-01-01

    A study of the strain-rate and temperature dependence of the lower yield stress (τ ly ) in undoped InP and of the strain-rate dependence of τ ly in undoped and Zn-doped GaAs is reported. The deformation along (123) orientation was carried out in compression at constant strain rates ranging from 10 -5 to 10 -2 s -1 . The temperature range extended from 540 to 780 0 C. The activation energy and stress exponent of the dislocation velocity were calculated. Experiments have shown that τ ly of InP depends on temperature and strain rate in a manner similar to other semiconductors like Si and InSb, whereas τ ly of GaAs shows an unusual strain-rate dependence

  8. Electrons, holes, and excitons in GaAs polytype quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Climente, Juan I.; Segarra, Carlos; Rajadell, Fernando; Planelles, Josep, E-mail: josep.planelles@uji.es [Departament de Química Física i Analítica, Universitat Jaume I, E-12080 Castelló (Spain)

    2016-03-28

    Single and multi-band k⋅p Hamiltonians for GaAs crystal phase quantum dots are used to assess ongoing experimental activity on the role of such factors as quantum confinement, spontaneous polarization, valence band mixing, and exciton Coulomb interaction. Spontaneous polarization is found to be a dominating term. Together with the control of dot thickness [Vainorius et al., Nano Lett. 15, 2652 (2015)], it enables wide exciton wavelength and lifetime tunability. Several new phenomena are predicted for small diameter dots [Loitsch et al., Adv. Mater. 27, 2195 (2015)], including non-heavy hole ground state, strong hole spin admixture, and a type-II to type-I exciton transition, which can be used to improve the absorption strength and reduce the radiative lifetime of GaAs polytypes.

  9. Hispaania ei usu ETA rahupakkumisse / Heiki Suurkask

    Index Scriptorium Estoniae

    Suurkask, Heiki, 1972-

    2004-01-01

    Baski terrorirühmitus kutsus uut peaministrit Jose Luis Rodriguez Zapaterot dialoogile, hiljuti valimised võitnud Sotsialistlik Töölispartei teatas vastuseks, et ETA on terroristlik rühmitus ja selle avaldustele tähelepanu ei osutata. Vt. samas: Vaherahule järgnes terrorilaine

  10. Ekskantslerit ei kollita huvide konflikt / Nils Niitra

    Index Scriptorium Estoniae

    Niitra, Nils, 1975-

    2010-01-01

    Kaitseministeeriumi vastuse kohaselt vaatasid sealsed audiitorid üle teadus-arendustegevususe uurimistoetuste maksmise ja seni sõlmitud lepingud ning selgus, et kahtlustused, nagu oleks kaitseministeeriumi endine kantsler Lauri Almann oma eraäri juba kantslerina ette valmistanud, ei vasta tõele. Autor vabandab Lauri Almanni ees

  11. Madal palk ei ole konkurentsieelis / Kristo Kiviorg

    Index Scriptorium Estoniae

    Kiviorg, Kristo

    2007-01-01

    Seminaril "Peidetud väärtus: kuidas ettevõtted saavutavad tavaliste inimeste abiga erakordseid tulemusi" ettekannetega esinenud Stanfordi Ülikooli professor Jeffrey Pfeffer ning inimressursside juhtimise konsultant David Russo tõdesid, et madal palk ei taga firmadele konkurentsieelist, kuigi paljud juhid nõnda arvavad

  12. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  13. Epilepsy, E/I balance and GABAA receptor plasticity

    Directory of Open Access Journals (Sweden)

    Jean-Marc Fritschy

    2008-03-01

    Full Text Available GABAA receptors mediate most of the fast inhibitory transmission in the CNS. They form heteromeric complexes assembled from a large family of subunit genes. The existence of multiple GABAA receptor subtypes differing in subunit composition, localization and functional properties underlies their role for fi ne-tuning of neuronal circuits and genesis of network oscillations. The differential regulation of GABAA receptor subtypes represents a major facet of homeostatic synaptic plasticity and contributes to the excitation/inhibition (E/I balance under physiological conditions and upon pathological challenges. The purpose of this review is to discuss recent fi ndings highlighting the signifi cance of GABAA receptor heterogeneity for the concept of E/I balance and its relevance for epilepsy. Specifi cally, we address the following issues: (1 role for tonic inhibition, mediated by extrasynaptic GABAA receptors, for controlling neuronal excitability; (2 signifi cance of chloride ion transport for maintenance of the E/I balance in adult brain; and (3 molecular mechanisms underlying GABAA receptor regulation (traffi cking, posttranslational modifi cation, gene transcription that are important for homoeostatic plasticity. Finally, the relevance of these fi ndings is discussed in light of the involvement of GABAA receptors in epileptic disorders, based on recent experimental studies of temporal lobe epilepsy (TLE and absence seizures and on the identifi cation of mutations in GABAA receptor subunit genes underlying familial forms of epilepsy.

  14. Anisotropic Pauli Spin Blockade of Holes in a GaAs Double Quantum Dot

    Science.gov (United States)

    Wang, Qingwen; Klochan, Oleh; Hung, Jo-Tzu; Culcer, Dimitrie; Farrer, Ian; Ritchie, David; Hamilton, Alex

    Electrically defined semiconductor quantum dots are appealing systems for spin manipulation and quantum information processing. Thanks to the weak hyperfine interaction and the strong spin-orbit interaction, heavy-holes in GaAs are promising candidates for all-electrical spin manipulation. However, making stable quantum dots in GaAs has only become possible recently, mainly because of difficulties in device fabrication and device stability. Here we present electrical transport measurements of heavy-holes in a lateral double quantum dot based on a GaAs /AlxGa1 - x As heterostructure. We observe clear Pauli spin blockade and show that the lifting of the spin blockade by an external magnetic field is extremely anisotropic. Numerical calculations of heavy-hole transport through a double quantum dot in the presence of strong spin-orbit interaction demonstrate quantitative agreement with experimental results, which indicates that the observed anisotropy can be explained by the anisotropic hole g-factor and the surface Dresselhaus spin-orbit coupling.

  15. Performance of Series Connected GaAs Photovoltaic Converters under Multimode Optical Fiber Illumination

    Directory of Open Access Journals (Sweden)

    Tiqiang Shan

    2014-01-01

    Full Text Available In many military and industrial applications, GaAs photovoltaic (PV converters are connected in series in order to generate the required voltage compatible with most common electronics. Multimode optical fibers are usually used to carry high-intensity laser and illuminate the series connected GaAs PV converters in real time. However, multimode optical fiber illumination has a speckled intensity pattern. The series connected PV array is extremely sensitive to nonuniform illumination; its performance is limited severely by the converter that is illuminated the least. This paper quantifies the effects of multimode optical fiber illumination on the performance of series connected GaAs PV converters, analyzes the loss mechanisms due to speckles, and discusses the maximum illumination efficiency. In order to describe the illumination dependent behavior detailedly, modeling of the series connected PV array is accomplished based on the equivalent circuit for PV cells. Finally, a series of experiments are carried out to demonstrate the theory analysis.

  16. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  17. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  18. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  19. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  20. RF-MMW Dipole Antenna Arrays From Laser Illuminated GaAs

    National Research Council Canada - National Science Library

    Umphenour, D

    1998-01-01

    High resistivity photoconductive Gallium Arsenide (GaAs) can be used as elemental Hertzian dipole antenna arrays in which the time varying dipole current is produced by temporally modulating a laser (0.63um...

  1. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  2. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  3. Kes ei maga, see vaatab / Jaanus Kulli

    Index Scriptorium Estoniae

    Kulli, Jaanus, 1955-

    2004-01-01

    Peale mängufilmi "Täna öösel me ei maga" esilinastust Coca-Cola Plazas : režissöör Ilmar Taska : produtsent ning üks stsenariste Kristian Taska. Lisaks vastavad Signe Kivi ja Urmas Paet küsimusele "Millised on teie esmamuljed filmist?"

  4. Density-dependent electron scattering in photoexcited GaAs

    DEFF Research Database (Denmark)

    Mics, Zoltán; D'’Angio, Andrea; Jensen, Søren A.

    2013-01-01

    —In a series of systematic optical pump - terahertz probe experiments we study the density-dependent electron scattering rate in photoexcited GaAs in a large range of carrier densities. The electron scattering time decreases by as much as a factor of 4, from 320 to 60 fs, as the electron density...

  5. THE IMPROVEMENT AND APPLICATION OF EI-GUN (ELECTRICAL INSEMINATION GUN FOR ARTIFICIAL INSEMINATION (AI USING GOAT FRESH SEMEN

    Directory of Open Access Journals (Sweden)

    Mirsa Ita Dewi

    2017-03-01

    Full Text Available Electrical Insemination Gun (EI-GUN was a tool for Artificial Insemination (AI using fresh semen with an electric control system. The research objective was to produced EI-GUN for AI using fresh semen on small ruminants (goat. The research was conducted from March to June 2015. The process of EI-GUN creation implemented in Design and Prototype Laboratory and Aero Modeling Research Laboratory at Engineering Faculty, University of Brawijaya (UB. Testing tools EI-GUN on goat was conducted in the Sumber Sekar Laboratory, Animal Husbandry Faculty, UB.  The methods of research were literature study, tool specification determination, designing EI-GUN, running test, semen evaluation passed EI-GUN and application on Goat. EI-GUN has seven important parts, which supports each other in this operating system. Those parts consist of stylet, servo, system controlled, insemination pump, connecting rod, battery, and holder. EI-GUN work system sucked the semen into insemination pump as much as 5 ml, and release the semen 0.25 ml appropriate standard of AI. It was applicable for 20 times AI. The results showed that the cell motility of spermatozoa ≥ 70% were still in ranging of Indonesian National Standard (SNI.   Keywords: artificial insemination, EI-GUN, fresh semen.

  6. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  7. SXPS study of model GaAs(100)/electrolyte interface

    Energy Technology Data Exchange (ETDEWEB)

    Lebedev, Mikhail V. [A.F. Ioffe Physico-Technical Institute, Russian Academy of Sciences, St. Petersburg (Russian Federation); Mankel, Eric; Mayer, Thomas; Jaegermann, Wolfram [Institute of Material Sciences, Darmstadt University of Technology, Darmstadt (Germany)

    2010-02-15

    Model GaAs(100)/electrolyte interfaces are prepared in vacuum by co-adsorption of Cl{sub 2} and 2-propanol molecules at LN{sub 2} temperature. On adsorption of Cl{sub 2} molecules gallium chlorides, elemental arsenic and arsenic chlorides are formed. Co-adsorption of 2-propanol causes formation of additional GaCl{sub 3} and AsCl, as well as soluble/volatile As-based complexes, which are released from the surface depleting the sur- face by arsenic. Comparison of the As 3d and Ga 3d spectra obtained after heating the model interface to room temperature with the corresponding spectra obtained after emersion of the GaAs(100) surface from HCl/2-propanol solution allows to conclude that in HCl solution Cl{sup -} ions attack gallium sites and H{sup +} ions mostly attack arsenic sites. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Vacancies and negative ions in GaAs

    International Nuclear Information System (INIS)

    Corbel, C.

    1991-01-01

    We use positron lifetime studies performed in GaAs materials to show the defect properties which can be investigated by implanting positive positrons in semiconductors. The studies concern native and electron irradiation induced defects. These studies show that vacancy charge state and vacancy ionization levels can be determined from positron annihilation. They show also that positrons are trapped by negative ions and give information on their concentration

  9. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  10. Burst annealing of high temperature GaAs solar cells

    Science.gov (United States)

    Brothers, P. R.; Horne, W. E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles.

  11. Burst annealing of high temperature GaAs solar cells

    International Nuclear Information System (INIS)

    Brothers, P.R.; Horne, W.E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 degree C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles

  12. Optical properties of GaAs

    International Nuclear Information System (INIS)

    Akinlami, J. O.; Ashamu, A. O.

    2013-01-01

    We have investigated the optical properties of gallium arsenide (GaAs) in the photon energy range 0.6–6.0 eV. We obtained a refractive index which has a maximum value of 5.0 at a photon energy of 3.1 eV; an extinction coefficient which has a maximum value of 4.2 at a photon energy of 5.0 eV; the dielectric constant, the real part of the complex dielectric constant has a maximum value of 24 at a photon energy of 2.8 eV and the imaginary part of the complex dielectric constant has a maximum value of 26.0 at a photon energy of 4.8 eV; the transmittance which has a maximum value of 0.22 at a photon energy of 4.0 eV; the absorption coefficient which has a maximum value of 0.22 × 10 8 m −1 at a photon energy of 4.8 eV, the reflectance which has a maximum value of 0.68 at 5.2eV; the reflection coefficient which has a maximum value of 0.82 at a photon energy of 5.2 eV; the real part of optical conductivity has a maximum value of 14.2 × 10 15 at 4.8 eV and the imaginary part of the optical conductivity has a maximum value of 6.8 × 10 15 at 5.0 eV. The values obtained for the optical properties of GaAs are in good agreement with other results. (semiconductor physics)

  13. Femtosecond coherent emission from GaAs bulk microcavities

    Science.gov (United States)

    Gurioli, Massimo; Bogani, Franco; Ceccherini, Simone; Colocci, Marcello; Beltram, Fabio; Sorba, Lucia

    1999-02-01

    The emission from a λ/2 GaAs bulk microcavity resonantly excited by femtosecond pulses has been characterized by using an interferometric correlation technique. It is found that the emission is dominated by the coherent signal due to light elastically scattered by disorder, and that scattering is predominantly originated from the lower polariton branch.

  14. Tunneling effect on double potential barriers GaAs and PbS

    Science.gov (United States)

    Prastowo, S. H. B.; Supriadi, B.; Ridlo, Z. R.; Prihandono, T.

    2018-04-01

    A simple model of transport phenomenon tunnelling effect through double barrier structure was developed. In this research we concentrate on the variation of electron energy which entering double potential barriers to transmission coefficient. The barriers using semiconductor materials GaAs (Galium Arsenide) with band-gap energy 1.424 eV, distance of lattice 0.565 nm, and PbS (Lead Sulphide) with band gap energy 0.41 eV distance of lattice is 18 nm. The Analysisof tunnelling effect on double potentials GaAs and PbS using Schrodinger’s equation, continuity, and matrix propagation to get transmission coefficient. The maximum energy of electron that we use is 1.0 eV, and observable from 0.0025 eV- 1.0 eV. The shows the highest transmission coefficient is0.9982 from electron energy 0.5123eV means electron can pass the barriers with probability 99.82%. Semiconductor from materials GaAs and PbS is one of selected material to design semiconductor device because of transmission coefficient directly proportional to bias the voltage of semiconductor device. Application of the theoretical analysis of resonant tunnelling effect on double barriers was used to design and develop new structure and combination of materials for semiconductor device (diode, transistor, and integrated circuit).

  15. Subnanosecond, high voltage photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L. (Lawrence Livermore National Lab., CA (USA)); O' Bannon, B.J. (Rockwell International Corp., Anaheim, CA (USA))

    1990-01-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating high-power microwaves (HPM) and for high rep-rate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanche-like mode (the optical pulse only controls switch closing). Operating in the linear mode, we have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lock-on modes, high fields are switched with lower laser pulse energies, resulting in higher efficiencies; but with measurable switching delay and jitter. We are currently investigating both large area (1 cm{sup 2}) and small area (<1 mm{sup 2}) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 {mu}m.

  16. X-ray imaging bilinear staggered GaAs detectors

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A.; Dvoryankin, V.F. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G.; Dikaev, Y.M.Yu.M.; Krikunov, A.I.; Kudryashov, A.A.; Panova, T.M.; Petrov, A.G.; Telegin, A.A

    2004-09-21

    The multichannel bilinear X-ray detector based on epitaxial GaAs structures is developed to obtain a digital X-ray image. Each detector operates in photovoltaic mode without reverse bias that enables almost complete elimination of detector noise arising due to leakage currents. The sensitivity range of the epitaxial GaAs photovoltaic X-ray detector covers the effective energies from 8 to 120 keV. A maximum response of the detector operating in the short-circuit mode was observed at an energy of 35 keV and amounted to 30 {mu}A min/(Gy cm{sup 2}). The multichannel detector was made of 1024 pixels with pitch of 0.8 mm. The spatial resolution of double staggered sensor row is twice as high as the resolution of that of single sensor row with the same pitch. Measured spatial resolution is 1.2 line-pairs/mm, contrast sensitivity not worse 1% and dynamic range defined as the ratio of maximum detectable X-ray signal to electronic noise level more than 2000 are received.

  17. X-ray imaging bilinear staggered GaAs detectors

    International Nuclear Information System (INIS)

    Achmadullin, R.A.; Dvoryankin, V.F.; Dvoryankina, G.G.; Dikaev, Y.M.Yu.M.; Krikunov, A.I.; Kudryashov, A.A.; Panova, T.M.; Petrov, A.G.; Telegin, A.A.

    2004-01-01

    The multichannel bilinear X-ray detector based on epitaxial GaAs structures is developed to obtain a digital X-ray image. Each detector operates in photovoltaic mode without reverse bias that enables almost complete elimination of detector noise arising due to leakage currents. The sensitivity range of the epitaxial GaAs photovoltaic X-ray detector covers the effective energies from 8 to 120 keV. A maximum response of the detector operating in the short-circuit mode was observed at an energy of 35 keV and amounted to 30 μA min/(Gy cm 2 ). The multichannel detector was made of 1024 pixels with pitch of 0.8 mm. The spatial resolution of double staggered sensor row is twice as high as the resolution of that of single sensor row with the same pitch. Measured spatial resolution is 1.2 line-pairs/mm, contrast sensitivity not worse 1% and dynamic range defined as the ratio of maximum detectable X-ray signal to electronic noise level more than 2000 are received

  18. Disruption of Higher Order DNA Structures in Friedreich's Ataxia (GAA)(n) Repeats by PNA or LNA Targeting

    DEFF Research Database (Denmark)

    Bergquist, Helen; Rocha, Cristina S. J.; Alvarez-Asencio, Ruben

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigen...

  19. Origin of the suppression in low frequency terahertz conductivity in dilute GaAs nitride and bismide alloys

    DEFF Research Database (Denmark)

    Cocker, Tylor; Lu, Xianfeng; Cooke, David

    We have performed time-resolved terahertz spectroscopy on GaAs1-xBix (x=7%) and observed a low-frequency suppression of the real conductivity previously seen only in dilute GaAs nitrides. We have developed a modified Drude model with a frequency-dependent scattering time that provides excellent...

  20. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  1. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  2. Keegi ei tea mu nime : [luuletused] / Veiko Märka

    Index Scriptorium Estoniae

    Märka, Veiko, 1964-

    2002-01-01

    Tõlgitud Veiko Märka luulekogust "Tühja aju korinad". Sisu: Keegi ei tea mu nime = Kukaan ei tiedä mun nimee ; "Kui ma kuulen sõnu..." = "Kun kuulen ilmauksen..." ; "Esimese..." = "Ensimmäisenä..." ; "Igal hommikul..." = "Joka aamu..." / tlk. Hannu Oittinen. Väike perenaine = Pikku perheenäiti / tlk. Hannu Oittinen ja Tuglas-seuran kääntäjäpiiri. Progressi triumf : (Poeem) = Kehityksen voitto : (Runoelma) / tlk. Hannu Oittinen. Minimalistlikud muinasjutud = Minimalistisia satuja / tlk. Heli Laaksonen ja Hannu Oittinen. Kõige turvalisem seks = Turvallisinta seksiä ; Abistame Aafrikat! = Autetaan Afrikkaa! ; "Taome..." = "Taotaan..." ; "Edasi..." = "Eteenpäin..." ; "Isegi juhus..." = "Edes sattuma..." ; "Miinus ja miinus..." = "Miinus ja miinus..." / tlk. Hannu Oittinen. Leijonien pakottaja ; Kotka ja Vaasa: Ystävyyskaupunkit

  3. Recommendations for an Executive Information System (EIS) for the NASA Accounting and Financial Information System (NAFIS)

    Science.gov (United States)

    Goss, Ernest Preston

    1991-01-01

    The objectives were to: (1) survey state-of-the-art computing architectures, tools, and technologies for implementing an Executive Information System (EIS); (2) review MSFC capabilities and efforts in developing an EIS for Shuttle Projects Office and the Payloads Project Office; (3) review management reporting requirements for the NASA Accounting and Financial Information System (NAFIS) Project in the areas of cost, schedule, and technical performance, and insure that the EIS fully supports these requirements; and (4) develop and implement a pilot concept for a NAFIS EIS. A summary of the findings of this work is presented.

  4. Robust Synchronization in an E/I Network with Medium Synaptic Delay and High Level of Heterogeneity

    International Nuclear Information System (INIS)

    Han Fang; Wang Zhi-Jie; Gong Tao; Fan Hong

    2015-01-01

    It is known that both excitatory and inhibitory neuronal networks can achieve robust synchronization only under certain conditions, such as long synaptic delay or low level of heterogeneity. In this work, robust synchronization can be found in an excitatory/inhibitory (E/I) neuronal network with medium synaptic delay and high level of heterogeneity, which often occurs in real neuronal networks. Two effects of post-synaptic potentials (PSP) to network synchronization are presented, and the synaptic contribution of excitatory and inhibitory neurons to robust synchronization in this E/I network is investigated. It is found that both excitatory and inhibitory neurons may contribute to robust synchronization in E/I networks, especially the excitatory PSP has a more positive effect on synchronization in E/I networks than that in excitatory networks. This may explain the strong robustness of synchronization in E/I neuronal networks. (paper)

  5. GaAs detectors with an ultra-thin Schottky contact for spectrometry of charged particles

    Energy Technology Data Exchange (ETDEWEB)

    Chernykh, S.V., E-mail: chsv_84@mail.ru [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Chernykh, A.V. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Didenko, S.I.; Baryshnikov, F.M. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Burtebayev, N. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan); Britvich, G.I. [Institute of High Energy Physics, Protvino, Moscow region (Russian Federation); Chubenko, A.P. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow (Russian Federation); Guly, V.G.; Glybin, Yu.N. [LLC “SNIIP Plus”, Moscow (Russian Federation); Zholdybayev, T.K.; Burtebayeva, J.T.; Nassurlla, M. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan)

    2017-02-11

    For the first time, samples of particle detectors based on high-purity GaAs epilayers with an active area of 25 and 80 mm{sup 2} and an ultra-thin Pt Schottky barrier were fabricated for use in the spectrometry of charged particles and their operating characteristics were studied. The obtained FWHM of 14.2 (for 25 mm{sup 2} detector) and 15.5 keV (for 80 mm{sup 2} detector) on the 5.499 MeV line of {sup 238}Pu is at the level of silicon spectrometric detectors. It was found that the main component that determines the energy resolution of the detector is a fluctuation in the number of collected electron–hole pairs. This allows us to state that the obtained energy resolution is close to the limit for VPE GaAs. - Highlights: • VPE GaAs particle detectors with an active area of 25 and 80 mm{sup 2} were fabricated. • 120 Å ultra-thin Pt Schottky barrier was used as a rectifying contact. • The obtained FWHM of 14.2 keV ({sup 238}Pu) is at the level of Si spectrometric detectors. • Various components of the total energy resolution were analyzed. • It was shown that obtained energy resolution is close to its limit for VPE GaAs.

  6. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  7. Maestro ei või õnneta elada / Silja Joon

    Index Scriptorium Estoniae

    Joon, Silja, 1966-

    2005-01-01

    Helilooja Veljo Tormise 75. aasta juubelikontserdist "Ei või õnneta elada" 13. aug. Pärnu kontserdimajas (korraldaja Ave Sopp), helilooja palvest õnnitlemiseks mõeldud lillede ja kingituste raha temanimelisse rahvusliku koorimuusika väärtustamiseks loodud fondi kandmisest

  8. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Digitaalne veesein = Digital Water-wall / Andres Sevtshuk, Kily K. Song

    Index Scriptorium Estoniae

    Sevtshuk, Andres, 1981-

    2008-01-01

    Veesein on Massachusettsi Tehnoloogiainstituudi (MIT) meedialaboratooriumis professor William J. Mitchelli juhtimisel loodud töö, mille esitlus on kavandatud 2008. a. juunis Zaragoza maailmanäitusel Hispaanias. Water-wall'i tehnoloogiat kasutades luuakse ümber Digital Water paviljoni vedel fassaad

  10. GaAs low-energy X-ray radioluminescence nuclear battery

    Science.gov (United States)

    Zhang, Zheng-Rong; Liu, Yun-Peng; Tang, Xiao-Bin; Xu, Zhi-Heng; Yuan, Zi-Cheng; Liu, Kai; Chen, Wang

    2018-01-01

    The output properties of X-ray radioluminescence (RL) nuclear batteries with different phosphor layers were investigated by using low-energy X-ray. Results indicated that the values of electrical parameters increased as the X-ray energy increased, and the output power of nuclear battery with ZnS:Cu phosphor layer was greater than those of batteries with ZnS:Ag, (Zn,Cd)S:Cu or Y2O3:Eu phosphor layers under the same excitation conditions. To analyze the RL effects of the phosphor layers under X-ray excitation, we measured the RL spectra of the different phosphor layers. Their fluorescence emissions were absorbed by the GaAs device. In addition, considering luminescence utilization in batteries, we introduced an aluminum (Al) film between the X-ray emitter and phosphor layer. Al film is a high performance reflective material and can increase the fluorescence reaching the GaAs photovoltaic device. This approach significantly improved the output power of the battery.

  11. 2.5 Gb/s laser-driver GaAS IC

    DEFF Research Database (Denmark)

    Riishøj, Jesper

    1993-01-01

    A laser-diode driver GaAs IC incorporating an optional NRZ/RZ (non-return-to-zero/return-to-zero) conversion facility, having ECL (emitter-coupled logic) and SCFL (source-coupled FET logic)-compatible inputs and providing a 0-60-mA adjustable output current into a 50-Ω/5-V termination at bit rates...... obtained. To verify laser driving performance a back-to-back optical-fiber transmission experiment was performed, giving good optical eye diagrams at 2.5 Gb/s. The electrooptical interplay between laser-diode driver and laser-diode has been demonstrated using SPICE simulations...... up to 2 Gb/s NRZ and maintaining a clear eye opening of 50 mA at 2.5 Gb/s NRZ bit rate has been designed, using a commercial 1-μm gate-length (Fτ=12 GHz) GaAs MESFET foundry service. The high maximum output current is obtained by implementing the output driver as a cascode differential amplifier...

  12. Pseudo-Rhombus-Shaped Subwavelength Crossed Gratings of GaAs for Broadband Antireflection

    International Nuclear Information System (INIS)

    Chen Xi; Zhang Jing; Song Guo-Feng; Chen Liang-Hui; Fan Zhong-Chao

    2010-01-01

    Holographic lithography coupled with the nonlinear response of photoresist to the exposure is adopted to fabricate porous photoresist (PR) mask. Conventional dot PR mask is also generated, and both patterns are transferred into a underlying GaAs substrate by the optimal dry etching process to obtain tapered subwavelength crossed gratings (SWCGs) to mimic the moth-eye structure. In comparison of the experiment and simulation, the closely-packed pseudo-rhombus-shaped GaAs SWCGs resulting from the porous mask outperforms the conical counterpart which comes from the dot mask, and achieves a reported lowest mean spectral reflectance of 1.1%. (fundamental areas of phenomenology(including applications))

  13. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  14. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  15. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  16. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  17. Promotion effect of monovalent metals (K and Cs) on the GaAs (110) surface oxidation

    International Nuclear Information System (INIS)

    Valeri, S.; Sberveglieri, P.; Angeli, E.

    1987-01-01

    The effect of thin (∼ 1 monolayer) overlayers of low electronegativity metals (Cs and K) on the RT oxidation behaviour of GaAs(110) cleavage surface is studied. This study was with Auger and Photoemission spectroscopies. Attention has been focused on the core-valence-valence and Auger lineshapes on the Ga and As 3d peaks. Presence of the alkali metal enhances the GaAs (110) oxidation rate several orders of magnitude above the clean surface value has been found. The range 0-100 Langmuir is investigated in detail. The oxidation process of the GaAs(110) surface in the presence of both K and Cs overlayer follows a multi-step kinetic and reaches a saturation at exposure lower than 100 Langmuir. Both Ga and As atoms are involved in the oxygen bonding. The metal enhanced semiconductor oxidation is generally reported to be a process involving predominantly the semiconductor surface atoms. However in the Cs - and K - GaAs case, an involvement of the alkali metal atoms too, reflected in the shape modification of their Auger line has been found. The promotion effect of K and Cs is discussed in terms of their low electronegativity and in comparison with the results recently reported in the literature for the other low electronegativity metals

  18. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    Science.gov (United States)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  19. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  20. Diffusion of $^{56}$Co in GaAs and SiGe alloys

    CERN Multimedia

    Koskelo, O K

    2007-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of cobalt in GaAs and SiGe alloys under intrinsic conditions. In the literature only three previous studies for Co diffusion in GaAs may be found and the results differ by over four orders of magnitude from each other. For Co diffusion in SiGe alloys no previous data is available in the literature. For Co diffusion in Ge one study may be found but the results have been obtained with material having increased dislocation density. For dislocation-free material no previous measurements are available. For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{56}$Co$^{+}$ ion beam.

  1. Somaalia piraadid ei taha Saksamaale minna / Evelyn Kaldoja

    Index Scriptorium Estoniae

    Kaldoja, Evelyn, 1980-

    2010-01-01

    Saksa kaubalaeva Taipan kaaperdamiselt tabatud somaallased ei taha, et neid antaks välja Saksamaale. Siiani on suurem osa tabatud Somaalia piraatidest saadetud Kenya kohtutesse. Rotterdamis algab järgmisel nädalal üle mitme sajandi esimene Euroopa piraadiprotsess

  2. Bismuth alloying properties in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Lu [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Lu, Pengfei, E-mail: photon.bupt@gmail.com [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Cao, Huawei; Cai, Ningning; Yu, Zhongyuan [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Gao, Tao [Institute of Atomic and Molecular Physics, Sichuan University, Chengdu 610065 (China); Wang, Shumin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Photonics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Gothenburg (Sweden)

    2013-09-15

    First-principles calculations have been performed to investigate the structural, electronic and optical properties of bismuth alloying in GaAs nanowires. A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration and the band edge shifts when spin–orbit coupling (SOC) is considered. The insertion of Bi atom leads to hybridization of Ga/As/Bi p states which contributes a lot around Fermi level. Scissor effect is involved. The optical properties are presented, including dielectric function, optical absorption spectra and reflectivity, which are also varied with the increasing of Bi concentrations. - Graphical abstract: Top view of Bi-doped GaAs nanowires. Ga, As, and Bi atoms are denoted with grey, purple and red balls, respectively. Display Omitted - Highlights: • A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. • The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration. • The band edge shifts when spin–orbit coupling (SOC) is considered. • The insertion of Bi atom leads to hybridization of Ga/As/Bi p states.

  3. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  4. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  5. Homozygotic intronic GAA mutation in three siblings with late-onset Pompe's disease Mutação homozigótica intrônica no gene GAA em três irmãos com doença de Pompe de início tardio

    Directory of Open Access Journals (Sweden)

    Anderson Kuntz Grzesiuk

    2010-04-01

    Full Text Available Pompe's disease (PD is a metabolic myopathy caused by the accumulation of lysosomal glycogen, secondary to acid α-glucosidase (GAA enzyme deficiency. Childhood and late-onset forms are described, differing by the age of onset and symptoms. In this study were analyzed affected siblings with Pompe's disease (PD and their distinct clinical and pathological presentations. METHOD: Diagnosis was performed by the clinical presentation of limb-girdle dystrophies and respiratory compromise. Confirmatory diagnoses were conducted by muscle biopsy, GAA activity measurement and by GAA gene genotyping. RESULTS: The findings suggested muscular involvement due to GAA deficiency. GAA genotyping showed they are homozygous for the c.-32-3C>A mutation. CONCLUSION: Herein we reported a family where three out of five siblings were diagnosed with late-onset PD, although it is a rare metabolic disease inherited in an autossomal recessive manner. We emphasize the importance of including this presentation within the differential diagnoses of the limb-girdle dystrophies once enzyme replacement therapy is available.A doença de Pompe (DP é uma miopatia originada do acúmulo lisossomal de glicogênio, devido à deficiência da enzima α-glicosidase ácida (GAA, sendo descritas formas de inicio precoce e tardio. Neste estudo analisamos retrospectivamente o perfil clinico e patológico de 3 irmãos portadores de doença de Pompe de inicio tardio. MÉTODO: O diagnóstico foi realizado mediante apresentação clinica de distrofia de cinturas associado a comprometimento respiratório, sendo confirmado por biópsia muscular e análise da atividade e genotipagem da GAA. RESULTADOS: Os exames clínicos e laboratoriais demonstram envolvimento muscular devido à deficiência da GAA, com uma mutação c.-32-3C>A em homozigose. CONCLUSÃO: Relatamos os aspectos clínicos e laboratoriais de 3 irmãos afetados por doença de Pompe de início tardio. Enfatizamos a importância de

  6. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  7. Antisites and anisotropic diffusion in GaAs and GaSb

    KAUST Repository

    Tahini, H. A.; Bracht, H.; Chroneos, Alexander; Grimes, R. W.; Murphy, S. T.; Schwingenschlö gl, Udo

    2013-01-01

    The significant diffusion of Ga under Ga-rich conditions in GaAs and GaSb is counter intuitive as the concentration of Ga vacancies should be depressed although Ga vacancies are necessary to interpret the experimental evidence for Ga transport

  8. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  9. X-ray diffraction study on pressure-induced phase transformation in nanocrystalline GaAs

    DEFF Research Database (Denmark)

    Jiang, Jianzhong; Olsen, J. S.; Gerward, Leif

    2002-01-01

    We have shown that the onset and transition pressures of the GaAs I --> II transition are 17 GPa and 20 GPa, respectively, for both bulk and nanophase material. The observed gradual change in resistivity of nanophase GaAs,at the semiconductor-to-metal transition is explained by the two-component ......We have shown that the onset and transition pressures of the GaAs I --> II transition are 17 GPa and 20 GPa, respectively, for both bulk and nanophase material. The observed gradual change in resistivity of nanophase GaAs,at the semiconductor-to-metal transition is explained by the two...

  10. Stable Amplification and High Current Drop Bistable Switching in Supercritical GaAs Tills

    DEFF Research Database (Denmark)

    Izadpanah, S.H; Jeppsson, B; Jeppesen, Palle

    1974-01-01

    Bistable switching with current drops of 40% and switching times of 100 ps are obtained in pulsed operation of 10¿m supercritically doped n+ nn+ GaAs Transferred Electron Devices (TEDs). When CW-operated the same devices exhibit a 5-17 GHz bandwidth for the stable negative resistance.......Bistable switching with current drops of 40% and switching times of 100 ps are obtained in pulsed operation of 10¿m supercritically doped n+ nn+ GaAs Transferred Electron Devices (TEDs). When CW-operated the same devices exhibit a 5-17 GHz bandwidth for the stable negative resistance....

  11. Turundajad ei oska kasutada kogutud kliendiinfot / Eda-Liis Kann

    Index Scriptorium Estoniae

    Kann, Eda-Liis, 1979-

    2004-01-01

    Eesti kaupmehed koguvad kliendiinfot, kuid klientide kasumlikkust ei arvutata. Lisa: Kliendid pole motiveeritud oma ostu registreerima; Tesco põhilised erinevused võrreldes Eesti lojaalsusprogrammidega; Partnerkaart; Säästukaart; Stockmanni püsikliendikaart

  12. Vale, mis ei taha surra / Urmas Kiil

    Index Scriptorium Estoniae

    Kiil, Urmas

    2005-01-01

    Juuditar Hadassa Ben-Itto raamatu "Vale, mis ei taha surra" (The Lie That Wouldn't Die: the Protocols of the Elders of Zion) sünniloost. Autor, kes oli Iisraelis kõrge kohtunik, loobus oma karjäärist, et tuua avalikkuse ette tõde XX sajandil palju surma külvanud plagiaadist "Siioni tarkade protokollid"

  13. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  14. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Sanz-Hervas, A.; Aguilar, M. [Madrid, Univ. (Spain). Dept. Tecnologia Electronica. E.T.S.I. Telecomunicacion; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J. [Valladolid, Real de Burgos Univ. (Spain). Dept. Teoria de la Senal u Comunicaciones e Ingegneria Telematica. E.T.S.I. Telecomunicacion; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E. [Madrid, Univ. (Spain). Dept. Ingegnieria Electronica. E.T.S.I. Telecomunicacion

    1997-02-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224{+-} reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies.

  15. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    International Nuclear Information System (INIS)

    Sanz-Hervas, A.; Aguilar, M.; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J.; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E.

    1997-01-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224± reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies

  16. Miks Coca-Cola enam ei kihise? / Neeme Raud

    Index Scriptorium Estoniae

    Raud, Neeme, 1969-

    2004-01-01

    Autor teeb USA äriajakirjanduse põhjal ülevaate Coca-Cola Company maine, turupositsiooni ja aktsiakursi langemise põhjustest alates 1997. aastast. Analüütikute sõnul on korporatsioon olnud ebaedukas tippkaadri voolavuse, sisekonfliktide, pidevate ümberkorralduste ja töötajate koondamiste tõttu. Praegune peadirektor Neville Isdelle on püstitanud eesmärgiks firma sisekultuuri parandamise, et keskenduda liidripositsiooni kindlustamisele turul. Diagramm: Karastusjookidel gaas väljas. Vt. samas: Coca-Cola ja Pepsi - üheskoos alla

  17. ASPECTE PRIVIND AUDITUL PERFORMANŢEI ÎN REPUBLICA MOLDOVA

    Directory of Open Access Journals (Sweden)

    Iulia CAPRIAN

    2015-12-01

    Full Text Available Auditul performanţei se concentrează pe determinarea faptului dacă activităţile, proiectele / programele / procesele se desfăşoară conform principiilor economicităţii, eficienţei şi eficacităţii şi dacă mai este loc pentru îmbunătăţiri. Acesta se realizează prin compararea performanţei în funcţie de setul de criterii prestabilite, precum şi prin analiza cauzelor ce determină apariţia problemelor sau devierilor de la aceste criterii, scopul principal fiind de a răspunde unor întrebări-cheie ale auditului şi de a furniza recomandări cu privire la îmbunătăţirile care se pot face.CERTAIN ASPECTS OF PERFORMANCE AUDIT IN THE REPUBLIC OF MOLDOVAPerformance auditing focuses on determining whether the activities, projects / programs / processes are conducted according to the principles of economy, efficiency and effectiveness and whether there is room for improvement; it is conducted by comparing performance on the basis of set criteria, as well as by analysis of the causes of the emergence of problems or deviations from these criteria, the main purpose being to answer certain key questions relevant for the audit and provide recommendations on improvements that can be made.

  18. IT ei loe / Nicholas G. Carr

    Index Scriptorium Estoniae

    Carr, Nicholas G.

    2003-01-01

    Harvard Business Review endise toimetaja arvates on infotehnoloogia (IT) strateegiline tähtsus ettevõtetes oluliselt vähenenud ning innovaatilised IT lahendused ei anna enam konkurentsieeliseid, mille tõttu peab muutuma ka juhtide suhtumine IT riskidesse, investeeringutesse ja juhtimisse. Vt. samas: Kuidas vältida üleinvesteerimist IT-sse?; Liiga palju head; Mis saab müüjatest?; Uued reeglid IT juhtimises. Kommenteerivad Henn Sarv, Avo Kokk, Olli Heinonen ja Jüri Kaljundi

  19. Optical pumping and negative luminescence polarization in charged GaAs quantum dots

    Science.gov (United States)

    Shabaev, Andrew; Stinaff, Eric A.; Bracker, Allan S.; Gammon, Daniel; Efros, Alexander L.; Korenev, Vladimir L.; Merkulov, Igor

    2009-01-01

    Optical pumping of electron spins and negative photoluminescence polarization are observed when interface quantum dots in a GaAs quantum well are excited nonresonantly by circularly polarized light. Both observations can be explained by the formation of long-lived dark excitons through hole spin relaxation in the GaAs quantum well prior to exciton capture. In this model, optical pumping of resident electron spins is caused by capture of dark excitons and recombination in charged quantum dots. Negative polarization results from accumulation of dark excitons in the quantum well and is enhanced by optical pumping. The dark exciton model describes the experimental results very well, including intensity and bias dependence of the photoluminescence polarization and the Hanle effect.

  20. The influence of γ-irradiation cobalt 60 on electrical properties of undoped GaAs treated with hydrogen plasma

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Kurilovich, N.F.; Prokhorenko, T.A.; Bumaj, Yu.A.; Ul'yashin, A.G.

    1999-01-01

    The influence of exposition to a hydrogen plasma (hydrogenation) on the electrical properties alteration under gamma-irradiation in bulk GaAs have been investigated. It is shown that crystals hydrogenation before irradiation leads to particularly passivation of electrically active defects that are responsible for carriers scattering and removing processes in irradiated crystals. Radiation defects thermostability in hydrogenated GaAs crystals is lower than that in non hydrogenated ones. The energetic levels position of main defect that effects on electrical properties alteration after irradiation in GaAs crystals was detected. It is equal to E D =E C -0,125±0,0005 eV

  1. Laser-induced band-gap collapse in GaAs

    Science.gov (United States)

    Glezer, E. N.; Siegal, Y.; Huang, L.; Mazur, E.

    1995-03-01

    We present experimentally determined values of the dielectric constant of GaAs at photon energies of 2.2 and 4.4 eV following excitation of the sample with 1.9-eV, 70-fs laser pulses spanning a fluence range from 0 to 2.5 kJ/m2. The data show that the response of the dielectric constant to the excitation is dominated by changes in the electronic band structure and not by the optical susceptibility of the excited free carriers. The behavior of the dielectric constant indicates a drop in the average bonding-antibonding splitting of GaAs following the laser-pulse excitation. This drop in the average splitting leads to a collapse of the band gap on a picosecond time scale for excitation at fluences near the damage threshold of 1.0 kJ/m2 and on a subpicosecond time scale at higher excitation fluences. The changes in the electronic band structure result from a combination of electronic screening of the ionic potential as well as structural deformation of the lattice caused by the destabilization of the covalent bonds.

  2. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  3. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  4. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei; Hijikata, Yasuto; Yaguchi, Hiroyuki [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku , Saitama 338-8570 (Japan); Mochizuki, Toshimitsu; Yoshita, Masahiro; Akiyama, Hidefumi [Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Kuboya, Shigeyuki; Onabe, Kentaro [Department of Advanced Materials Science, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Katayama, Ryuji [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  5. Lifetime measurements by open circuit voltage decay in GaAs and InP diodes

    International Nuclear Information System (INIS)

    Bhimnathwala, H.G.; Tyagi, S.D.; Bothra, S.; Ghandhi, S.K.; Borrego, J.M.

    1990-01-01

    Minority carrier lifetimes in the base of solar cells made in GaAs and InP are measured by open circuit voltage decay method. This paper describes the measurement technique and the conditions under which the minority carrier lifetimes can be measured. Minority carrier lifetimes ranging from 1.6 to 34 ns in InP of different doping concentrations are measured. A minority carrier lifetime of 6 ns was measured in n-type GaAs which agrees well with the lifetime of 5.7 ns measured by transient microwave reflection

  6. Capacitance-voltage characteristics of GaAs ion-implanted structures

    Directory of Open Access Journals (Sweden)

    Privalov E. N.

    2008-08-01

    Full Text Available A noniterative numerical method is proposed to calculate the barrier capacitance of GaAs ion-implanted structures as a function of the Schottky barrier bias. The features of the low- and high-frequency capacitance-voltage characteristics of these structures which are due to the presence of deep traps are elucidated.

  7. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  8. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    Energy Technology Data Exchange (ETDEWEB)

    Boardman, D

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of {approx}1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/{mu}Gy mm{sup 2}, for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  9. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    International Nuclear Information System (INIS)

    Boardman, D.

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of ∼1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/μGy mm 2 , for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  10. Study of irradiation defects in GaAs

    International Nuclear Information System (INIS)

    Loualiche, S.

    1982-11-01

    Characterization techniques: C(V) differential capacity, DLTS deep level transient spectroscopy, DDLTS double deep level transient spectroscopy and DLOS deep level optical spectroscopy are studied and theoretical and experimental fundamentals are re-examined. In particular the centres created by ionic or electronic bombardment of p-type GaAs. New quantitative theoretical bases for the C(V) method are obtained. Study of the optical properties of traps due to irradiation using DLOS. The nature of irradiation defects are discussed [fr

  11. Tony Blair ei saanud Balti riikidelt loodetud järeleandmisi / Andris Feldmanis

    Index Scriptorium Estoniae

    Feldmanis, Andris, 1982-

    2005-01-01

    Balti riigid ei olnud nõus loobuma kümnendikust eurotoetustest. Tallinnas viibinud Suurbritannia peaministri Tony Blairi väitel on EL-i uue finantsperspektiivi vastuvõtmine just uute liikmesriikide huvides. Vt. samas: Tiiu Laks. Riigijuhi visiit põhjustas Tallinnas vaid lühiajalisi liiklusseisakuid; Lisa: Blairi esinemine ei reetnud ebaedu; Kaivo Kopli. Uusliikmed andsid tuld juba enne ettepanekute saamist; Lisa: Toetus vanadelt liikmesmaadelt; Märt Kivine. EL-i eelarve: lahjem kui kunagi varem. Kommenteerib Taavi Veskimägi

  12. Semi-insulating GaAs detectors of fast neutrons

    International Nuclear Information System (INIS)

    Sagatova, A.; Sedlackova, K.; Necas, V.; Zatko, B.; Dubecky, F.; Bohacek, P.

    2012-01-01

    The present work deals with the technology of HDPE neutron conversion layer application on the surface of semi-insulating (SI) GaAs detectors via developed polypropylene (PP) based glue. The influence of glue deposition on the electric properties of the detectors was studied as well as the ability of the detectors to register the fast neutrons from "2"3"9Pu-Be neutron source. (authors)

  13. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  14. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    Science.gov (United States)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  15. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  16. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  17. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  18. Temperature-Driven Change in the Unstable Growth Mode on Patterned GaAs(001)

    International Nuclear Information System (INIS)

    Tadayyon-Eslami, T.; Phaneuf, R. J.; Kan, H.-C.; Calhoun, L. C.

    2006-01-01

    We observe a dramatic change in the unstable growth mode during GaAs molecular beam epitaxy on patterned GaAs(001) as the temperature is lowered through approximately 540 deg. C, roughly coincident with the preroughening temperature. Observations of the As 2 flux dependence, however, rule out thermodynamic preroughening as driving the growth mode change. Similar observations rule out the change in surface reconstruction as the cause. Instead, we find evidence that the change in the unstable growth mode can be explained by a competition between the decreased adatom collection rate on small terraces and a small anisotropic barrier to adatom diffusion downward across step bunches

  19. Pärt, Arvo: "Beatus". Statuit ei Dominus / Patric Wiklacz

    Index Scriptorium Estoniae

    Wiklacz, Patric

    1997-01-01

    Uuest heliplaadist "Pärt, Arvo: "Beatus". Statuit ei Dominus. Missa syllabica. Beatus Petronius. 7 Magnificat-Antiphonen. De profundis. Memento. Cantate Domino. Solfeggio. Estonian Philharmonic Chamber Choir, T. Kaljuste". Virgin Classics 545 276-2 (CD:167F)

  20. Negative ion formation in the scattering of state-selected NO+ on GaAs(110)

    International Nuclear Information System (INIS)

    Martin, J.S.; Greeley, J.N.; Morris, J.R.; Ferenchok, B.T.; Jacobs, D.C.

    1993-01-01

    A hyperthermal beam of state-selected NO + X 1 Σ + (v,j) impinges on a clean, well characterized GaAs(110) surface. The resulting two-electron transfer products NO-and O- are independently interrogated with a novel ion imaging technique as a function of NO + translational and vibrational energies. The products are shown to have different appearance thresholds, product translational energy distributions, and NO + vibrational energy dependencies. Most notably, vibrational energy is an order of magnitude more effective that translational energy in activating O- formation at a collision energy of 45 eV. The O- angular distribution exhibits a correlation with translational energy which is asymmetric about the surface normal. These results suggest that the probability of O- formation is dependent on the molecules point of impact with the GaAs (110) surface. The dynamical features of the NO + /GaAs(110) reaction will be discussed in terms of the three independent coordinates addressed in this experiment: the diatom internuclear separation, the molecule-surface distance, and the surface impact parameter

  1. Ultra-broadband Nonlinear Microwave Monolithic Integrated Circuits in SiGe, GaAs and InP

    DEFF Research Database (Denmark)

    Krozer, Viktor; Johansen, Tom Keinicke; Djurhuus, Torsten

    2006-01-01

    .5 GHz and ≫ 10 GHz for SiGe BiCMOS and GaAs MMIC, respectively. Analysis of the frequency behaviour of frequency converting devices is presented for improved mixer design. Millimeter-wave front-end components for advanced microwave imaging and communications purposes have also been demonstrated......Analog MMIC circuits with ultra-wideband operation are discussed in view of their frequency limitation and different circuit topologies. Results for designed and fabricated frequency converters in SiGe, GaAs, and InP technologies are presented in the paper. RF type circuit topologies exhibit a flat...... conversion gain with a 3 dB bandwidth of 10 GHz for SiGe and in excess of 20 GHz for GaAs processes. The concurrent LO-IF isolation is better than -25 dB, without including the improvement due to the combiner circuit. The converter circuits exhibit similar instantaneous bandwidth at IF and RF ports of ≫ 7...

  2. Optical techniques to feed and control GaAs MMIC modules for phased array antenna applications

    Science.gov (United States)

    Bhasin, K. B.; Anzic, G.; Kunath, R. R.; Connolly, D. J.

    1986-01-01

    A complex signal distribution system is required to feed and control GaAs monolithic microwave integrated circuits (MMICs) for phased array antenna applications above 20 GHz. Each MMIC module will require one or more RF lines, one or more bias voltage lines, and digital lines to provide a minimum of 10 bits of combined phase and gain control information. In a closely spaced array, the routing of these multiple lines presents difficult topology problems as well as a high probability of signal interference. To overcome GaAs MMIC phased array signal distribution problems optical fibers interconnected to monolithically integrated optical components with GaAs MMIC array elements are proposed as a solution. System architecture considerations using optical fibers are described. The analog and digital optical links to respectively feed and control MMIC elements are analyzed. It is concluded that a fiber optic network will reduce weight and complexity, and increase reliability and performance, but higher power will be required.

  3. Energy Return on Energy Invested (ERoEI) for photovoltaic solar systems in regions of moderate insolation

    International Nuclear Information System (INIS)

    Ferroni, Ferruccio; Hopkirk, Robert J.

    2016-01-01

    Many people believe renewable energy sources to be capable of substituting fossil or nuclear energy. However there exist very few scientifically sound studies, which apply due diligence to substantiating this impression. In the present paper, the case of photovoltaic power sources in regions of moderate insolation is analysed critically by using the concept of Energy Return on Energy Invested (ERoEI, also called EROI). But the methodology for calculating the ERoEI differs greatly from author-to-author. The main differences between solar PV Systems are between the current ERoEI and what is called the extended ERoEI (ERoEI EXT ). The current methodology recommended by the International Energy Agency is not strictly applicable for comparing photovoltaic (PV) power generation with other systems. The main reasons are due to the fact that on one hand, solar electricity is very material-intensive, labour-intensive and capital-intensive and on the other hand the solar radiation exhibits a rather low power density. - Highlights: •Data are available from several years of photovoltaic energy experience in northern Europe. •These are used to show the way to calculate a full, extended ERoEI. •The viability and sustainability in these latitudes of photovoltaic energy is questioned. •Use of photovoltaic technology is shown to result in creation of an energy sink.

  4. Swedbank ei pikenda Rumeenia fondi võlakirju / Piret Reiljan

    Index Scriptorium Estoniae

    Reiljan, Piret, 1983-

    2010-01-01

    Swedbank ei pikendanud IPC Investment Groupi poolt hallatava Rumeenia kinnisvarafondi Nord Hill Land Portfolio tähtaega ning fond peab vara müüki panema. IPC Investment Groupi omanikud on Indrek Elhi ja rumeenlane Ciprian Lopata

  5. Kui parimast ei piisa, siis piisab erinevast / Gustav Hafren

    Index Scriptorium Estoniae

    Hafren, Gustav

    2003-01-01

    Autor leiab, et turul, kus ei võida mitte kvaliteetsemad tooted, vaid tooted, mis omavad kindlat kohta klientide teadvuses, on kõige olulisem eristuda konkurentidest. Lisa: Milline on kliendi teadvus? Kokkuvõte autori ettekandest turunduskonverentsil "Password 2003".

  6. Integration of single-photon sources and detectors on GaAs

    NARCIS (Netherlands)

    Digeronimo, G.E.; Petruzzella, Maurangelo; Birindelli, Simone; Gaudio, Rosalinda; Poor, Sartoon Fattah; van Otten, Frank W.M.; Fiore, Andrea

    2016-01-01

    Quantum photonic integrated circuits (QPICs) on a GaAs platform allow the generation, manipulation, routing, and detection of non-classical states of light, which could pave the way for quantum information processing based on photons. In this article, the prototype of a multi-functional QPIC is

  7. EIS immunosensor based on magnetic nanoparticles for ochratoxim A determination

    International Nuclear Information System (INIS)

    Biraruti, Irina; Tudorache, Madalina; Rotariu, Lucian; Bala, Camelia; Jaffrezic-Renault, Nicole

    2009-01-01

    Full text: Ochratoxin A (OTA) is a mycotoxin produced by Aspergillus and Penicillium fungi. It occurs in a variety of foodstuff, including cereals, coffee, wine, grape juice and fruits juice. Maximum permitted levels have been established by the EU and several countries. Currently, there are several methods available for OTA monitoring in real samples, e.g. TLC, HPLC, ELISA immunosensors. Liquid chromatography with fluorescence detection (HPLC-FLD), coupled with immunoaffinity column or solid phase extraction as pretreatment method, has been validated and adopted as official standard for OTA determination. Also, an immunosensor based on electrochemical detection has been reported for rapid analysis of OTA in food and beverages. Our work proposes the development of a new sensitive immunosensor combining the use of magnetic nanoparticles and EIS (electrochemical impedance spectroscopy) detection principle. Anti-OTA antibody immobilised on magnetic nanoparticles were deposited on the EIS electrode surface by applying a magnetic field. Then, OTA sample solutions were added in the detection cell. Quantitative determination of OTA content was performed based on EIS detection. Experimental parameters of the immunosensor were set-up at the optimum values. Based on those values, the immunosensor allows to detect OTA in the range 1-10 ng/mL. The future perspective is to validate this immunochemical method using HPLC-FLD. (authors)

  8. Spin-Relaxation Anisotropy in a GaAs Quantum Dot

    NARCIS (Netherlands)

    Scarlino, P.; Kawakami, E.; Stano, P.; Shafiei, M.; Reichl, C.; Wegscheider, W.; Vandersypen, L.M.K.

    2014-01-01

    We report that the electron spin-relaxation time T1 in a GaAs quantum dot with a spin-1/2 ground state has a 180° periodicity in the orientation of the in-plane magnetic field. This periodicity has been predicted for circular dots as being due to the interplay of Rashba and Dresselhaus spin orbit

  9. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    Science.gov (United States)

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-04

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  10. Surface study of organopalladium molecules on S-terminated GaAs

    International Nuclear Information System (INIS)

    Konishi, Tomoya; Toujyou, Takashi; Ishikawa, Takuma; Teraoka, Teruki; Ueta, Yukiko; Kihara, Yoshifumi; Moritoki, Hideji; Tono, Tatsuo; Musashi, Mio; Tada, Takashi; Tsukamoto, Shiro; Nishiwaki, Nagatoshi; Fujikawa, Seiji; Takahasi, Masamitu; Bell, Gavin; Shimoda, Masahiko

    2011-01-01

    Organopalladium species ({Pd}) immobilized on an S-terminated GaAs substrate (S/GaAs) effectively catalyzes C-C bond formation in the Mizoroki-Heck reaction with cycle durability. However, the immobilizing mechanism of {Pd} is unknown. In this study, we deposited Pd(OCOCH 3 ) 2 on S/GaAs in two different methods, namely dry-physical vapor-deposition and wetchemical deposition, and compared the catalytic activities in the Mizoroki-Heck reaction. Also, S-termination and {Pd}-immobilization on GaAs grains were performed by the wet-chemical method to monitor the change in the surface chemical structure during the preparation process with diffuse reflectance Fourier transform infrared spectroscopy (FT-IR). FT-IR measurements implied that the immobilization of catalytic active {Pd} was related to the OH groups on the S-terminated surface. {Pd}-S/GaAs prepared dryphysically showed poor catalytic activity, because {Pd} was not immobilized under absence of OH groups. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  12. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  13. Lattice location of diffused Zn atoms in GaAs and InP single crystals

    International Nuclear Information System (INIS)

    Chan, L.Y.; Yu, K.M.; Ben-Tzur, M.; Haller, E.E.; Jaklevic, J.M.; Walukiewicz, W.; Hanson, C.M.

    1991-01-01

    We have investigated the saturation phenomenon of the free carrier concentration in p-type GaAs and InP single crystals doped by zinc diffusion. The free hole saturation occurs at 10 20 cm -3 for GaAs, but the maximum concentration for InP appears at mid 10 18 cm -3 . The difference in the saturation hole concentrations for these materials is investigated by studying the incorporation and the lattice location of the impurity zinc, an acceptor when located on a group III atom site. Zinc is diffused into the III-V wafers in a sealed quartz ampoule. Particle-induced x-ray emission with ion-channeling techniques are employed to determine the exact lattice location of the zinc atoms. We have found that over 90% of all zinc atoms occupy Ga sites in the diffused GaAs samples, while for the InP case, the zinc substitutionality is dependent on the cooling rate of the sample after high-temperature diffusion. For the slowly cooled sample, a large fraction (∼90%) of the zinc atoms form random precipitates of Zn 3 P 2 and elemental Zn. However, when rapidly cooled only 60% of the zinc forms such precipitates while the rest occupies specific sites in the InP. We analyze our results in terms of the amphoteric native defect model. We show that the difference in the electrical activity of the Zn atoms in GaAs and InP is a consequence of the different location of the Fermi level stabilization energy in these two materials

  14. Moskvas hoitav Adolf Hitleri kolbatükk ei kuulu füürerile / Aadu Hiietamm

    Index Scriptorium Estoniae

    Hiietamm, Aadu, 1954-

    2009-01-01

    USA arheoloogi Nick Bellantoni avastus, et Moskvas hoitav Adolf Hitleri kuuliauguga koljutükk ei kuulugi füürerile, annab tõenäoliselt uut hoogu vandenõuteoreetikutele, kes usuvad, et Hitler ei teinud 1945. aastal enesetappu, vaid põgenes välismaale

  15. Natura 2000 ei lõpeta elu maal / Aleksei Lotman

    Index Scriptorium Estoniae

    Lotman, Aleksei, 1960-

    2004-01-01

    Natura alade kaitsekorralduse alused sätestab loodusdirektiivi paragrahv 6. Selle põhimõte on vältida elupaikade kahjustamist Natura aladel. Mingi ala kandmine Natura 2000 võrgustikku ei tähenda sugugi igasuguse inimtegevuse automaatset keelamist

  16. Arabidopsis thaliana Ei-5: Minor Vein Architecture Adjustment Compensates for Low Vein Density in Support of Photosynthesis.

    Science.gov (United States)

    Stewart, Jared J; Polutchko, Stephanie K; Demmig-Adams, Barbara; Adams, William W

    2018-01-01

    An Arabidopsis thaliana accession with naturally low vein density, Eifel-5 (Ei-5), was compared to Columbia-0 (Col-0) with respect to rosette growth, foliar vein architecture, photosynthesis, and transpiration. In addition to having to a lower vein density, Ei-5 grew more slowly, with significantly lower rates of rosette expansion, but had similar capacities for photosynthetic oxygen evolution on a leaf area basis compared to Col-0. The individual foliar minor veins were larger in Ei-5, with a greater number of vascular cells per vein, compared to Col-0. This compensation for low vein density resulted in similar values for the product of vein density × phloem cell number per minor vein in Ei-5 and Col-0, which suggests a similar capacity for foliar sugar export to support similar photosynthetic capacities per unit leaf area. In contrast, the product of vein density × xylem cell number per minor vein was significantly greater in Ei-5 compared to Col-0, and was associated not only with a higher ratio of water-transporting tracheary elements versus sugar-transporting sieve elements but also significantly higher foliar transpiration rates per leaf area in Ei-5. In contrast, previous studies in other systems had reported higher ratios of tracheary to sieve elements and higher transpiration rate to be associated with higher - rather than lower - vein densities. The Ei-5 accession thus further underscores the plasticity of the foliar vasculature by illustrating an example where a higher ratio of tracheary to sieve elements is associated with a lower vein density. Establishment of the Ei-5 accession, with a low vein density but an apparent overcapacity for water flux through the foliar xylem network, may have been facilitated by a higher level of precipitation in its habitat of origin compared to that of the Col-0 accession.

  17. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Xu, T. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Wei, M. J. [Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Capiod, P.; Díaz Álvarez, A.; Han, X. L.; Troadec, D.; Nys, J. P.; Berthe, M.; Lefebvre, I.; Grandidier, B., E-mail: bruno.grandidier@isen.iemn.univ-lille1.fr [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Patriarche, G. [CNRS-Laboratoire de Photonique et de Nanostructures (LPN), Route de Nozay, 91460 Marcoussis (France); Plissard, S. R. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); CNRS-Laboratoire d' Analyse et d' Architecture des Systèmes (LAAS), Univ. de Toulouse, 7 Avenue du Colonel Roche, F-31400 Toulouse (France); Caroff, P. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory 0200 (Australia); and others

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19} core and the GaAs shell and identifies it as a type I band alignment.

  18. Radiation effects in pigtailed GaAs and GaA1As LEDs

    International Nuclear Information System (INIS)

    Barnes, C.E.

    1981-06-01

    Permanent and transient radiation effects have been studied in Plessey pigtailed, high radiance GaAs and GaAlAs LEDs using neutron, gamma ray and X-ray sources. The radiation-induced source of degradation in these devices was determined by also examining both bare, unpigtailed LEDs and separate samples of the Corning fibers used as pigtails. No transient effects were observed in the unpigtailed LEDs during either pulsed neutron or X-ray exposure. In contrast, the Corning doped silica fibers exhibited strong transient attenuation following pulsed X-ray bombardment. Permanent neutron damage in these pigtailed LEDs consisted essentially of light output degradation in the LED itself. Permanent gamma ray effects due to a Co-60 irradiation of 1 megarad were restricted to a small increase in attenuation in the fiber. The two primary radiation effects were then transient attenuation in the fiber pigtail and permanent neutron-induced degradation of the LED

  19. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  20. Design and analysis of EI core structured transverse flux linear reluctance actuator

    OpenAIRE

    FENERCİOĞLU, AHMET; AVŞAR, YUSUF

    2015-01-01

    In this study, an EI core linear actuator is proposed for horizontal movement systems. It is a transverse flux linear switched reluctance motor designed with an EI core structure geometrically. The actuator is configured into three phases and at a 6/4 pole ratio, and it has a stationary active stator along with a sliding passive translator. The stator consists of E cores and the translator consists of I cores. The actuator has a yokeless design because the stator and translator have no back i...

  1. Vedel ärkamisaeg internetis / Johannes Saar

    Index Scriptorium Estoniae

    Saar, Johannes, 1965-

    1999-01-01

    Interstanding 3, näitus, konverents "Ülalpool piire", ettekanded. Graham Harwood interneti infotüranniast ja bioaktiivset kunsti viljelev Eduardo Kac saabuvast "kunstikavatsuslike olendite ajastust"

  2. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  3. Balti riikide vabadus ei ohusta Venemaad / Daniel Fried

    Index Scriptorium Estoniae

    Fried, Daniel, 1952-

    2007-01-01

    Ilmunud ka: The Baltic Times, 21. juuni 2007, lk. 15. Ameerika Ühendriikide asevälisminister Euroopa ja Euraasia asjus Daniel Fried Balti riikide tunnustamisest, vabadusest ja suveräänsusest. Venemaa huvides on olla ümbritsetud rahulikest ja jõukatest demokraatiatest, ähvardustele ja sanktsioonidele ei tohi jätta ruumi

  4. Pärnu ATP ei usu streigisse / Tõnu Kann

    Index Scriptorium Estoniae

    Kann, Tõnu, 1957-

    2004-01-01

    Eesti transpordi- ja teetöötajate ametiühing ähvardab uuest aastast üldstreigiga, kui autoettevõtjate liit ei allkirjasta uut üldtöökokkulepet, mis tõstaks tööliste tunnipalga alammäära kuni 150 protsenti. Arvamust avaldab Pärnu bussipargi direktor Andrus Kärpuk

  5. Eesti valitsuse argumendid ei pea vett / Indrek Veiserik

    Index Scriptorium Estoniae

    Veiserik, Indrek

    2008-01-01

    Autori väitel püsib Iraak lõhestatuna ja mingit rahvuslikku leppimist ei ole seal toimunud, seetõttu on Eesti valitsuse loodud ettekujutus peatsest rahu saabumisest vale. USA nädalalehe Newsweek kolumnist Fareed Zakaria leiab, et USA jaoks oleks mõistlik strateegia Iraagis luua rahvusvaheliste institutsioonide poolt sanktsioneeritud rahutagamismissioon

  6. 77 FR 26025 - Notice of Intent To Prepare a Environmental Impact Statement (EIS) for the HOPE SF Development at...

    Science.gov (United States)

    2012-05-02

    ... a Environmental Impact Statement (EIS) for the HOPE SF Development at Potrero Terrace and Potrero... Statement (EIR/EIS) for the HOPE SF Development at the Potrero Terrace and Potrero Annex Public Housing Development (Potrero HOPE SF Master Plan Project). The EIR/EIS will be a joint National Environmental Policy...

  7. TIME-DEPENDENT MOSSBAUER-SPECTROSCOPY AND 119MTE-IMPLANTED GAAS

    NARCIS (Netherlands)

    MO, D; ZHANG, GL; NIESEN, L; Waard , de Hendrik

    1991-01-01

    A new type of time-dependent Mossbauer spectroscopy is proposed and realized on the basis of using the two-step decay (119m)Te --> 113Sb --> Sn-119. For the GaAs samples, implanted with a dose of 110-keV (119m)Te + 10(15) stable Te/cm2 and annealed at 600-degrees-C, the relative intensities of

  8. Four EIS Perspectives: A literature review

    DEFF Research Database (Denmark)

    Pries-Heje, Jan; Svejvig, Per

    A literature review of the Enterprise Information Systems literature reveals a number of recurring issues. We identify and detail four different perspectives that together categorizes the topics found. The first being a technical-rational perspective. The second being a social perspective....... The third being a more political and emergent perspective. Fourth, we identify a design-oriented perspective. We categorize the literature on EIS using these four perspectives, and for each perspective we identify core issues. Finally we discuss how the four perspectives complement each other....

  9. Utilization Status of Electronic Information Sources (EIS) for HIV ...

    African Journals Online (AJOL)

    Tesfa

    HIV/AIDS Care and Treatment in Specialized Teaching Hospitals of. Ethiopia, 2016. Senait Samuel Bramo. 1. , Tesfamichael Alaro Agago. 2*. OPEN ACCESS. Citation: Senait Samuel Bramo,. Tesfamichael Alaro Agago. Utilization. Status of Electronic Information Sources. (EIS) for HIV/AIDS Care and Treatment.

  10. Role of wave functions in electromagnetism : RAS from GaAs (110)

    NARCIS (Netherlands)

    Wijers, C.M.J.; de Boeij, P.L.

    2001-01-01

    We have calculated the reflectance anisotropy for the GaAs (110) surface using the discrete cellular method. This method extends the range of application of standard discrete dipole calculations by incorporating nonlocal polarizabilitites. The method adds a second quantum mechanical channel of

  11. Variations in first principles calculated defect energies in GaAs and ...

    Indian Academy of Sciences (India)

    Keywords. Ab initio calculations; semi-insulating GaAs; point defects. ... We are focusing on gallium arsenide. .... gallium vacancy in S & L, P et al and N & Z will exist in triple ... gallium antisite defect that include relaxation, a negative. U-effect is ...

  12. Ion induced charge collection in GaAs MESFETs

    International Nuclear Information System (INIS)

    Campbell, A.; Knudson, A.; McMorrow, D.; Anderson, W.; Roussos, J.; Espy, S.; Buchner, S.; Kang, K.; Kerns, D.; Kerns, S.

    1989-01-01

    Charge collection measurements on GaAs MESFET test structures demonstrate that more charge can be collected at the gate than is deposited in the active layer and more charge can be collected at the drain than the total amount of charge produced by the ion. Enhanced charge collection at the gate edge is also observed. The current transients produced by the energetic ions have been measured directly with about 20 picosecond resolution

  13. Solar heating of GaAs nanowire solar cells.

    Science.gov (United States)

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  14. Feasibility of an EIS Follow-up Program

    International Nuclear Information System (INIS)

    Nelson, I.C.; Jaquish, R.E.; Watson, D.G.

    1982-12-01

    The proposed level of an EIS Follow-up Program is believed to be feasible and that it can and should be implemented. Guidance to authors should result in fewer, but more important, commitments for mitigating adverse environmental impacts. Selecting the significant commitments from Records of Decisions published since July 1, 1979 for tracking to satisfaction should result in conformance with regulations, orders, and the intent of the NEPA

  15. Maa, mis on ja mida ei ole / Õnne Kepp

    Index Scriptorium Estoniae

    Kepp, Õnne

    2006-01-01

    Gustav Suitsu 1913. aastal ilmunud luulekogust "Tuulemaa". Tuulemaa kujundit kasutatakse Eestimaa sünonüümina, ühtaegu nii reaalse maana kui ka irreaalse paigana, mida ei eksisteeri, mida pole olemas

  16. Photoluminescence characterization of GaAs/GaAs0.64P0.19Sb0.17/GaAs heterostructure

    International Nuclear Information System (INIS)

    Chen, J.Y.; Chen, B.H.; Huang, Y.S.; Chin, Y.C.; Tsai, H.S.; Lin, H.H.; Tiong, K.K.

    2013-01-01

    Interfacial characteristics of GaAs/GaAs 0.64 P 0.19 Sb 0.17 GaAs heterostructures and emission properties of a quaternary GaAs 0.64 P 0.19 Sb 0.17 layer were studied by excitation-power- and temperature-dependent photoluminescence (PL) measurements. The GaAs-to-GaAsPSb upper interface related emission feature and signals from GaAsPSb and GaAs were observed and characterized. The upper interface related emission peak was attributed to the radiative recombination of spatially separated electron–hole pairs and suggesting the type-II alignment at the GaAs/GaAsPSb interface. The localized excitonic emission feature of GaAsPSb revealed a blueshift due to the saturation effect of localized states and showed a fast thermal-quench with the increase of temperature. The temperature variation of the band edge emission signal of GaAsPSb was found to follow that of GaAs closely. -- Highlights: ► PL characterization of GaAs/GaAsPSb/GaAs heterostructure. ► Type-II alignment at the GaAs/GaAsPSb interface. ► Near-band-edge emission lines of GaAsPSb

  17. CORONAL MASS EJECTION INDUCED OUTFLOWS OBSERVED WITH HINODE/EIS

    International Nuclear Information System (INIS)

    Jin, M.; Ding, M. D.; Chen, P. F.; Fang, C.; Imada, S.

    2009-01-01

    We investigate the outflows associated with two halo coronal mass ejections (CMEs) that occurred on 2006 December 13 and 14 in NOAA 10930, using the Hinode/EIS observations. Each CME was accompanied by an EIT wave and coronal dimmings. Dopplergrams in the dimming regions are obtained from the spectra of seven EIS lines. The results show that strong outflows are visible in the dimming regions during the CME eruption at different heights from the lower transition region to the corona. It is found that the velocity is positively correlated with the photospheric magnetic field, as well as the magnitude of the dimming. We estimate the mass loss based on height-dependent EUV dimmings and find it to be smaller than the CME mass derived from white-light observations. The mass difference is attributed partly to the uncertain atmospheric model, and partly to the transition region outflows, which refill the coronal dimmings.

  18. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  19. Acetylcholinesterase Inhibitors (AChEI's for the treatment of visual hallucinations in schizophrenia: A review of the literature

    Directory of Open Access Journals (Sweden)

    Patel Sachin S

    2010-09-01

    Full Text Available Abstract Background Visual hallucinations occur in various neurological diseases, but are most prominent in Lewy body dementia, Parkinson's disease and schizophrenia. The lifetime prevalence of visual hallucinations in patients with schizophrenia is much more common than conventionally thought and ranges from 24% to 72%. Cortical acetylcholine (ACh depletion has been associated with visual hallucinations; the level of depletion being related directly to the severity of the symptoms. Current understanding of neurobiological visual processing and research in diseases with reduced cholinergic function, suggests that AChEI's may prove beneficial in treating visual hallucinations. This offers the potential for targeted drug therapy of clinically symptomatic visual hallucinations in patients with schizophrenia using acetylcholinesterase inhibition. Methods A systematic review was carried out investigating the evidence for the effects of AChEI's in treating visual hallucinations in Schizophrenia. Results No evidence was found relating to the specific role of AChEI's in treating visual hallucinations in this patient group. Discussion Given the use of AChEI's in targeted, symptom specific treatment in other neuropsychiatric disorders, it is surprising to find no related literature in schizophrenia patients. The use of AChEI's in schizophrenia has investigated effects on cognition primarily with non cognitive effects measured more broadly. Conclusions We would suggest that more focused research into the effects of AChEI's on positive symptoms of schizophrenia, specifically visual hallucinations, is needed.

  20. EI2N 2009 PC Co-chairs' Message

    Science.gov (United States)

    Panetto, Hervé; Bernus, Peter; Gonçalves, Ricardo; Goranson, Ted

    After the successful third edition in 2008, the fourth edition of the Enterprise Integration, Interoperability and Networking workshop (EI2N 2009) was organized as part of the OTM 2009 Federated Conferences and was supported by the IFAC Technical Committee 5.3 "Enterprise Integration and Networking" and the IFIP Working Group 5.12 "Architectures for Enterprise Integration."

  1. High Purity GaAs Far IR Photoconductor With Enhanced Quantum Efficieny, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This proposal introduces an innovative concept aimed to significantly enhance the quantum efficiency of a far-infrared GaAs photoconductor and achieve sensitivity...

  2. Aiandusäri jahtumismärke ei ilmuta / Aive Herja

    Index Scriptorium Estoniae

    Herja, Aive

    2008-01-01

    Haljastus- ja aiandusäri praegu veel jahtumismärke ei ilmuta. Arvamust avaldavad aiandusettevõtete juhid. Lk. 28 intervjuu maastikuarhitektuurifirma Artes Terrae OÜ juhatuse liikme Sulev Nurmega "Sulev Nurme: maastikuarhitektuuri turg on kasvutrendis"

  3. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  4. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  5. N/P GaAs concentrator solar cells with an improved grid and bushbar contact design

    International Nuclear Information System (INIS)

    Desalvo, G.C.; Mueller, E.H.; Barnett, A.M.

    1985-01-01

    The major requirements for a solar cell used in space applications are high efficiency at AMO irradiance and resistance to high energy radiation. Gallium arsenide, with a band gap of 1.43 eV, is one of the most efficient sunlight to electricity converters (25%) when the the simple diode model is used to calculate efficiencies at AMO irradiance, GaAs solar cells are more radiation resistant than silicon solar cells and the N/P GaAs device has been reported to be more radiation resistant than similar P/N solar cells. This higher resistance is probably due to the fact that only 37% of the current is generated in the top N layer of the N/P cell compared to 69% in the top layer of a P/N solar cell. This top layer of the cell is most affected by radiation. It has also been theoretically calculated that the optimized N/P device will prove to have a higher efficiency than a similar P/N device. The use of a GaP window layer on a GaAs solar cell will avoid many of the inherent problems normally associated with a GaAlAs window while still proving good passivation of the GaAs surface. An optimized circular grid design for solar cell concentrators has been shown which incorporates a multi-layer metallization scheme. This multi-layer design allows for a greater current carrying capacity for a unit area of shading, which results in a better output efficiency

  6. Ultrafast recombination in H+ bombarded InP and GaAs: Consequences for the carrier distribution functions

    International Nuclear Information System (INIS)

    Lamprecht, K.F.; Juen, S.; Hoepfel, R.A.; Palmetshofer, L.

    1992-01-01

    The authors studied the lifetimes and the luminescence spectra of photoexcited carriers in H + bombarded InP and GaAs for different damage doses by means of femtosecond luminescence spectroscopy. For InP the lifetime decreases down to 95 fs for the highest dose, whereas for GaAs no shorter lifetime than 650 fs could be observed. With decreasing lifetime they observe an increase of the high energy tail of the time-integrated luminescence spectrum which is even inverted for the 95 fs InP sample

  7. Initial test of an rf gun with a GaAs cathode installed

    International Nuclear Information System (INIS)

    Aulenbacher, K.; Bossart, R.; Braun, H.

    1996-09-01

    The operation of an rf gun with a GaAs crystal installed as the cathode has been tested in anticipation of eventually producing a polarized electron beam for a future e + /e - collider using an rf photoinjector

  8. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  9. A polarized photoluminescence study of strained layer GaAs photocathodes

    International Nuclear Information System (INIS)

    Mair, R.A.

    1996-07-01

    Photoluminescence measurements have been made on a set of epitaxially grown strained GaAs photocathode structures. The photocathodes are designed to exhibit a strain-induced enhancement of the electron spin polarization obtainable by optical pumping with circularly polarized radiation of near band gap energy. For the case of non-strained GaAs, the degree of spin polarization is limited to 50% by crystal symmetry. Under an appropriate uniaxial compression or tension, however, the valence band structure near the gap minimum is modified such that a spin polarization of 100% is theoretically possible. A total of nine samples with biaxial compressive strains ranging from zero to ∼0.8% are studied. X-ray diffraction analysis, utilizing Bragg reflections, is used to determine the crystal lattice structure of the samples. Luminescence spectra and luminescence circular polarization data are obtained at room temperature, ∼78 K and ∼12 K. The degree of luminescence circular polarization is used as a relative measure of the photo-excited electron spin polarization. The room temperature luminescence circular polarization data is compared with the measured electron spin polarization when the samples are used as electron photo-emitters with a negative electron affinity surface preparation. The luminescence data is also analyzed in conjunction with the crystal structure data with the goal of understanding the strain dependent valence band structure, optical pumping characteristics and spin depolarization mechanisms of the photocathode structures. A simple model is used to describe the luminescence data, obtained for the set of samples. Within the assumptions of the model, the deformation potentials a, b and d for GaAs are determined. The measured values are a = -10.16±.21 eV, b = -2.00±.05 eV and d = -4.87±.29 eV. Good agreement with published values of the deformation potentials provides support for the model used to describe the data

  10. Polarization and charge limit studies of strained GaAs photocathodes

    International Nuclear Information System (INIS)

    Saez, P.J.

    1997-03-01

    This thesis presents studies on the polarization and charge limit behavior of electron beams produced by strained GaAs photocathodes. These photocathodes are the source of high-intensity, high-polarization electron beams used for a variety of high-energy physics experiments at the Stanford Linear Accelerator Center. Recent developments on P-type, biaxially-strained GaAs photocathodes have produced longitudinal polarization in excess of 80% while yielding beam intensities of ∼ 2.5 A/cm 2 at an operating voltage of 120 kV. The SLAC Gun Test Laboratory, which has a replica of the SLAC injector, was upgraded with a Mott polarimeter to study the polarization properties of photocathodes operating in a high-voltage DC gun. Both the maximum beam polarization and the maximum charge obtainable from these photocathodes have shown a strong dependence on the wavelength of illumination, on the doping concentration, and on the negative electron affinity levels. The experiments performed for this thesis included studying the effects of temperature, cesiation, quantum efficiency, and laser intensity on the polarization of high-intensity beams. It was found that, although low temperatures have been shown to reduce the spin relaxation rate in bulk semiconductors, they don't have a large impact on the polarization of thin photocathodes. It seems that the short active region in thin photocathodes does not allow spin relaxation mechanisms enough time to cause depolarization. Previous observations that lower QE areas on the photocathode yield higher polarization beams were confirmed. In addition, high-intensity, small-area laser pulses were shown to produce lower polarization beams. Based on these results, together with some findings in the existing literature, a new proposal for a high-intensity, high-polarization photocathode is given. It is hoped that the results of this thesis will promote further investigation on the properties of GaAs photocathodes

  11. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  12. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  13. Individual GaAs nanorods imaged by coherent X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Pietsch, Ullrich; Biermanns, Andreas; Davydok, Anton [Universitaet Siegen (Germany); Paetzelt, Hendrik [Universitaet Leipzig (Germany); IOM Leipzig (Germany); Diaz, Ana; Metzger, Hartmut [ID01 Beamline, ESRF (France); Gottschalch, Volker [Universitaet Leipzig (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices because the nanorod approach can be used to form heterostructures of materials with a large lattice mismatch and to define nanorod arrays with tailored inter-rod distance. However, all applications require objects with uniform physical properties based on uniform morphology. Complementary to electron microscopy techniques, destruction free X-ray diffraction techniques can be used to determine structural and morphological details. Using scanning X-ray diffraction microscopy with a spot size of 220 x 600 nm{sup 2} we were able to inspect individual GaAs nanorods grown by seed-free MOVPE through circular openings in a SiN{sub x} mask in a periodic array with 3 {mu}m spacing on GaAs[111]B. The focussed X-ray beam allows the determination of the strain state of individual rods and in combination with coherent diffraction imaging, we were able to characterize also morphological details. Rods grown at different positions in the array show significant differences in shape, size and strain state.

  14. Suitsetamisega võitlemisel ei aita inimeste kiusamine / Silver Meikar

    Index Scriptorium Estoniae

    Meikar, Silver, 1978-

    2004-01-01

    Suitsetamise vastu võitlemisel ei tohiks kasutada rangelt seadusi vaid võimaldada soodsalt osta suitsetamisvastaseid vahendeid, leiab autor. Vt. ka: Silver Meikar: Olen valmis hoidma Eesti edu; Silver Meikar saatis lugejakirja Saksamaa päevalehtedele; Arvamusi Silver Meikarist; Silver Meikar loobus paberkandjale trükitud seaduseelnõudest

  15. Electronic passivation of n- and p-type GaAs using chemical vapor deposited GaS

    Science.gov (United States)

    Tabib-Azar, Massood; Kang, Soon; Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    We report on the electronic passivation of n- and p-type GaAs using CVD cubic GaS. Au/GaS/GaAs-fabricated metal-insulator-semiconductor (MIS) structures exhibit classical high-frequency capacitor vs voltage (C-V) behavior with well-defined accumulation and inversion regions. Using high- and low-frequency C-V, the interface trap densities of about 10 exp 11/eV per sq cm on both n- and p-type GaAs are determined. The electronic condition of GaS/GaAs interface did not show any deterioration after a six week time period.

  16. Polarity influence on the indentation punching of thin {111} GaAs foils at elevated temperatures

    International Nuclear Information System (INIS)

    Patriarche, G; Largeau, L; Riviere, J P; Bourhis, E Le

    2005-01-01

    Thin {111} GaAs substrates were deformed by a Vickers indenter at 350 deg. C-370 deg. C under loads ranging between 0.4 and 1.9 N. Optical microscopy and interferometry were used to observe the indented and opposite faces of the thin foils and hence to investigate the plastic flow through the samples. Attention was paid to the polarity (A or B) of the specimen surface, as GaAs is known to show a large difference between α and β dislocations mobilities. A model considering the influence of polarity is proposed to describe the material flow throughout thin samples

  17. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  18. NATO peasekretär : Londoni terror ei peata võitlust terrorismi vastu / Ahto Lobjakas

    Index Scriptorium Estoniae

    Lobjakas, Ahto, 1970-

    2005-01-01

    8. juunil Brüsselis toimunud erakorralisest istungist, kus avaldati toetust Suurbritanniale pärast Londoni terrorirünnakut, toimunu laadsed rünnakud ei suuda väärata NATO võitlust terrorismiga kogu maailmas. Lisa: G8 ei lasknud end terrorist kõigutada. Kommenteerivad USA president Georg W. Bush, Venemaa president Vladimir Putin, Austraalia peaminister John Howard, Pariisi linnapea Bertrand Delanoe ja ROK-i president Jacques Rogge

  19. Conversion Matrix Analysis of GaAs HEMT Active Gilbert Cell Mixers

    DEFF Research Database (Denmark)

    Jiang, Chenhui; Johansen, Tom Keinicke; Krozer, Viktor

    2006-01-01

    In this paper, the nonlinear model of the GaAs HEMT active Gilbert cell mixer is investigated. Based on the model, the conversion gain expression of active Gilbert cell mixers is derived theoretically by using conversion matrix analysis method. The expression is verified by harmonic balance simul...

  20. Spin-polarized tunneling with GaAs tips in scanning tunneling microscopy

    NARCIS (Netherlands)

    Prins, M.W.J.; Jansen, R.; Kempen, van H.

    1996-01-01

    We describe a model as well as experiments on spin-polarized tunneling with the aid of optical spin orientation. This involves tunnel junctions between a magnetic material and gallium arsenide (GaAs), where the latter is optically excited with circularly polarized light in order to generate

  1. Characteristics of GaAs MESFET inverters exposed to high energy neutrons

    International Nuclear Information System (INIS)

    Bloss, W.L.; Yamada, W.E.; Young, A.M.; Janousek, B.K.

    1988-01-01

    GaAs MESFET circuits have been exposed to high energy neutrons with fluences ranging from 1x10/sup 14/ n/cm/sup 2/ to 2x10/sup 15/ m/cm/sup 2/. Discrete transistors, inverters, and ring oscillators were characterized at each fluence. While the MESFETs exhibit significant threshold voltage shifts and transconductance and saturation current degradation over this range of neutron fluences, the authors have observed improvement in the DC characteristics of Schottky Diode FET Logic (SDFL) inverters. This unusual result has been successfully simulated using device parameters extracted from FETs damaged by exposure to high energy neutrons. Although the decrease in device transconductance results in an increase in inverter gate delay, as reflected in ring oscillator frequency measurements, the authors conclude that GaAs ICs fabricated from this logic family will remain functional after exposure to extreme neutron fluences. This is a consequence of the observed improvement in inverter noise margin evident in both measured and simulated circuit performance

  2. Hinnatõusuralli. Lõppu ei paista : keskküttesoe 12, elekter koguni 23% kallimaks / Katrin Rohtla

    Index Scriptorium Estoniae

    Rohtla, Katrin, 1966-

    2007-01-01

    Kallinev maagaas kergitab veel tänavu keskküttesoojuse hinda 12% võrra ja elektrienergia kallineb 23%. Eestit ähvardavast inflatsioonist ja hinnatõusudest. Vt. samas: Gaas kallineb etteaimamatult

  3. Etching of GaAs substrates to create As-rich surface

    Indian Academy of Sciences (India)

    WINTEC

    during the manipulations of the substrate after the chemi- cal etching process. ... using the four techniques described in table 1 and for an. *Author for ... Etching of GaAs substrates to create As-rich surface. 563. Table 1. Treatment procedures used. Treatment. Techniques. 1st stage. 2nd stage. 3rd stage. 4th stage. 1. Treated ...

  4. X-ray structure amplitudes for GaAs and InP

    International Nuclear Information System (INIS)

    Pietsch, U.

    1985-01-01

    The structure amplitudes of GaAs and InP are calculated taking into account the nonspherical parts of the valence electron density by means of a static bond charge model. The best known temperature factors and dispersion coefficients are employed. The calculated structure amplitudes should help determining exactly the shape of X-ray diffraction patterns. (author)

  5. Iraagi raport ei veennud sõjavastaseid / Neeme Raud

    Index Scriptorium Estoniae

    Raud, Neeme, 1969-

    2007-01-01

    Ilmunud ka: Postimees : na russkom jazõke, 12. sept. 2007, lk. 7. USA vägede ülemjuhataja kindral David Petraeus ja USA Iraagi suursaadik Ryuan Crocker kirjeldasid Kongressile Iraagi olukorda, mis ei suutnud sõja suhtes selgelt negatiivsetel positsioonidel rahvaesindajaid veenda, et operatsioonide jätkamine on vajalik. Vt. samas: Ingvar Bärenklau. Riigikogu peab otsustama Eesti missiooni saatuse

  6. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  8. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    Science.gov (United States)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  9. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    Science.gov (United States)

    Angly, Florent E; Willner, Dana; Prieto-Davó, Alejandra; Edwards, Robert A; Schmieder, Robert; Vega-Thurber, Rebecca; Antonopoulos, Dionysios A; Barott, Katie; Cottrell, Matthew T; Desnues, Christelle; Dinsdale, Elizabeth A; Furlan, Mike; Haynes, Matthew; Henn, Matthew R; Hu, Yongfei; Kirchman, David L; McDole, Tracey; McPherson, John D; Meyer, Folker; Miller, R Michael; Mundt, Egbert; Naviaux, Robert K; Rodriguez-Mueller, Beltran; Stevens, Rick; Wegley, Linda; Zhang, Lixin; Zhu, Baoli; Rohwer, Forest

    2009-12-01

    Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS), a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites) suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and environmental conditions.

  10. Ohmic contact formation process on low n-type gallium arsenide (GaAs) using indium gallium zinc oxide (IGZO)

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Seong-Uk [Samsung-SKKU Graphene Center and School of Electronics and Electrical Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Product and Test Engineering Team, System LSI Division, Samsung Electronics Co., Ltd, Yongin 446-711 (Korea, Republic of); Jung, Woo-Shik [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States); Lee, In-Yeal; Jung, Hyun-Wook; Kim, Gil-Ho [Samsung-SKKU Graphene Center and School of Electronics and Electrical Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Park, Jin-Hong, E-mail: jhpark9@skku.edu [Samsung-SKKU Graphene Center and School of Electronics and Electrical Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-02-01

    Highlights: • We propose a method to fabricate non-gold Ohmic contact on low n-type GaAs with IGZO. • 0.15 A/cm{sup 2} on-current and 1.5 on/off-current ratio are achieved in the junction. • InAs and InGaAs formed by this process decrease an electron barrier height. • Traps generated by diffused O atoms also induce a trap-assisted tunneling phenomenon. - Abstract: Here, an excellent non-gold Ohmic contact on low n-type GaAs is demonstrated by using indium gallium zinc oxide and investigating through time of flight-secondary ion mass spectrometry, X-ray photoelectron spectroscopy, transmission electron microscopy, J–V measurement, and H [enthalpy], S [entropy], Cp [heat capacity] chemistry simulation. In is diffused through GaAs during annealing and reacts with As, forming InAs and InGaAs phases with lower energy bandgap. As a result, it decreases the electron barrier height, eventually increasing the reverse current. In addition, traps generated by diffused O atoms induce a trap-assisted tunneling phenomenon, increasing generation current and subsequently the reverse current. Therefore, an excellent Ohmic contact with 0.15 A/cm{sup 2} on-current density and 1.5 on/off-current ratio is achieved on n-type GaAs.

  11. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  12. Detecting Fermi-level shifts by Auger electron spectroscopy in Si and GaAs

    Science.gov (United States)

    Debehets, J.; Homm, P.; Menghini, M.; Chambers, S. A.; Marchiori, C.; Heyns, M.; Locquet, J. P.; Seo, J. W.

    2018-05-01

    In this paper, changes in surface Fermi-level of Si and GaAs, caused by doping and cleaning, are investigated by Auger electron spectroscopy. Based on the Auger voltage contrast, we compared the Auger transition peak energy but with higher accuracy by using a more accurate analyzer and an improved peak position determination method. For silicon, a peak shift as large as 0.46 eV was detected when comparing a cleaned p-type and n-type wafer, which corresponds rather well with the theoretical difference in Fermi-levels. If no cleaning was applied, the peak position did not differ significantly for both wafer types, indicating Fermi-level pinning in the band gap. For GaAs, peak shifts were detected after cleaning with HF and (NH4)2S-solutions in an inert atmosphere (N2-gas). Although the (NH4)2S-cleaning in N2 is very efficient in removing the oxygen from the surface, the observed Ga- and As-peak shifts are smaller than those obtained after the HF-cleaning. It is shown that the magnitude of the shift is related to the surface composition. After Si-deposition on the (NH4)2S-cleaned surface, the Fermi-level shifts back to a similar position as observed for an as-received wafer, indicating that this combination is not successful in unpinning the Fermi-level of GaAs.

  13. Passively model-locked Nd: YAG laser with a component GaAs

    International Nuclear Information System (INIS)

    Zhang Zhuhong; Qian Liejia; Chen Shaohe; Fan Dianyuan; Mao Hongwei

    1992-01-01

    An all solid-state passively mode-locked Nd: YAG laser with a 400 μm, (100) oriented GaAs component is reported for the first time and model locked pulses with a duration of 16 ps, average energy of 10 μJ were obtained with a probability of 90%

  14. Effect of thermal annealing on optical properties of implanted GaAs

    NARCIS (Netherlands)

    Kulik, M; Komarov, FF; Maczka, D

    GaAs samples doped with indium atoms by ion implantation and thermal annealed were studied using a channelling method, Rutherford backscattering, and an ellipsometry. From these measurements it was observed that the layer implanted with 3 x 10(16) cm(-2) indium dose was totally damaged and its

  15. Transient four-wave mixing in T-shaped GaAs quantum wires

    DEFF Research Database (Denmark)

    Langbein, Wolfgang Werner; Gislason, Hannes; Hvam, Jørn Märcher

    1999-01-01

    The binding energy of excitons and biexcitons and the exciton dephasing in T-shaped GaAs quantum wires is investigated by transient four-wave mixing. The T-shaped structure is fabricated by cleaved-edge overgrowth, and its geometry is engineered to optimize the one-dimensional confinement. In thi...

  16. Spin injection into GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Endres, Bernhard

    2013-11-01

    In this work spin injection into GaAs from Fe and (Ga,Mn)As was investigated. For the realization of any spintronic device the detailed knowledge about the spin lifetime, the spatial distribution of spin-polarized carriers and the influence of electric fields is essential. In the present work all these aspects have been analyzed by optical measurements of the polar magneto-optic Kerr effect (pMOKE) at the cleaved edge of the samples. Besides the attempt to observe spin pumping and thermal spin injection into n-GaAs the spin solar cell effect is demonstrated, a novel mechanism for the optical generation of spins in semiconductors with potential for future spintronic applications. Also important for spin-based devices as transistors is the presented realization of electrical spin injection into a two-dimensional electron gas.

  17. Fast GaAs photoconductor responses to subnanosecond proton pulses

    International Nuclear Information System (INIS)

    Pochet, T.

    1993-01-01

    GaAs photoconductors have been tailored to detect ultrafast proton pulses having energies ranging between 4 and 9 MeV. The sensitivity, the linearity and the speed of response of the devices are analyzed as a function of their neutron pre-irradiation treatment. The dependence of the sensitivity on the proton energy and the applied polarization is also studied. Finally, the experimental results are compared with a simple theoretical model

  18. Gaas Displacement Damage Dosimeter Based on Diode Dark Currents

    Directory of Open Access Journals (Sweden)

    Warner Jeffrey H.

    2017-01-01

    Full Text Available GaAs diode dark currents are correlated over a very large proton energy range as a function of displacement damage dose (DDD. The linearity of the dark current increase with DDD over a wide range of applied voltage bias deems this device an excellent candidate for a displacement damage dosimeter. Additional proton testing performed in situ enabled error estimate determination to within 10% for simulated space use.

  19. Ab initio study of hot electrons in GaAs

    OpenAIRE

    Bernardi, Marco; Vigil-Fowler, Derek; Ong, Chin Shen; Neaton, Jeffrey B.; Louie, Steven G.

    2015-01-01

    Hot carrier dynamics critically impacts the performance of electronic, optoelectronic, photovoltaic, and plasmonic devices. Hot carriers lose energy over nanometer lengths and picosecond timescales and thus are challenging to study experimentally, whereas calculations of hot carrier dynamics are cumbersome and dominated by empirical approaches. In this work, we present ab initio calculations of hot electrons in gallium arsenide (GaAs) using density functional theory and many-body perturbation...

  20. Investigation of Optically Induced Avalanching in GaAs

    Science.gov (United States)

    1989-06-01

    by Bovino , et al 4 to increase the hold off voltage. The button switch design of Fig. 4c has been used by several researchers5 ’ 7 to obtain the...ul Long flashover palh Figure 3b. 434 Optical Jlatlern a. Mourou Switch b. Bovino Switch c. Button Switch Figure 4. Photoconductive Switches...Technology and Devices Laboratory, ERADCOM (by L. Bovino , et. all) 4 • The deposition recipe for the contacts is 1) 50 ANi (provides contact to GaAs

  1. CRISPR/Cas9-Mediated Deletion of C1EIS Inhibits Chicken Embryonic Stem Cell Differentiation Into Male Germ Cells (Gallus gallus).

    Science.gov (United States)

    Zuo, Qisheng; Jin, Kai; Wang, Yingjie; Song, Jiuzhou; Zhang, Yani; Li, Bichun

    2017-08-01

    We previously found that C1EIS is preferentially expressed in Chicken spermatogonial stem cells (SSCs) by RNA sequencing (RNA-seq), so our current study focused on C1EIS's role in Chicken embryonic stem cells (ESCs) differentiation into male germ cells. We constructed a CRISPR/Cas9 vector targeting C1EIS. T7 endonuclease I (T7EI) digestion method and sequencing of TA cloning were used to detect the knock-out efficiency of the Single guide RNA (sgRNA) after the cas9/gRNA vector transfected into D fibroblasts 1(DF-1), ESCs, and Chicken embryos. The results showed that CRISPR/Cas9 gene knockout efficiency is about 40%. Differentiation of the targeted ESCs into SSCs was inhibited at the embryoid body stage due to C1EIS deficiency. Immunofluorescent staining revealed that the mutagenized ESCs (RA (Retinoic Acid) with C1EIS Knock out) expressed lower levels of integrin α6 and integrin β1 compared to wild type cells. Quantitative real-time PCR (QRT-PCR) revealed Oct4 and Sox2 expression significantly increased, contrarily integrin β1 and Stra8 expression significantly decreased than RA induced group and RA with C1EIS Overexpression. During retinoic acid-induced differentiation, knockout of C1EIS in ESCs inhibited formation of SSC-like cells, suggesting C1EIS plays a vital role in promoting differentiation of avian ESCs to SSCs by regulating expression of multiple pluripotency-related genes. J. Cell. Biochem. 118: 2380-2386, 2017. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  2. Effect of rapid thermal annealing observed by photoluminescence measurement in GaAs1-xN x layers

    International Nuclear Information System (INIS)

    Bousbih, F.; Bouzid, S.B.; Hamdouni, A.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    A set of GaAs 1-x N x samples with small nitrogen content were investigated by photoluminescence (PL) measurements as function of irradiance in order to investigate the effect of rapid thermal annealing (RTA) on photoluminescence (PL) properties. The analysis of PL spectra as function of irradiance and nitrogen content shows that the PL spectra associated to the GaAs 1- x N x layers are the result of the nitrogen localized state recombination. The results are examined as a consequence of a rapid thermal annealing (RTA). The variation of the emission band peak energy (E p ), at 10 K as a function of irradiance, is fitted by a theoretical model taking into account two types of nitrogen localized states. The variation of the PL intensity versus irradiance in the range from 1.59 to 159 W/cm 2 for different GaAs 1-x N x samples confirm that the PL spectra result from the nitrogen localized state recombination

  3. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  4. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011)B3 single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Higuchi, Jumpei; Yabuhara, Osamu; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011) B3 single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar] bcc || GaAs(011)[011-bar] B3 . The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{011} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011) B3 substrates.

  5. 77 FR 5566 - Notice of Availability of the Final EIS for the HB In-Situ Solution Mine Project, Eddy County...

    Science.gov (United States)

    2012-02-03

    ...] Notice of Availability of the Final EIS for the HB In-Situ Solution Mine Project, Eddy County, New Mexico... Statement (Final EIS) for the HB In-Situ Solution Mine Project, and by this notice is announcing its... the Federal Register. ADDRESSES: Copies of the HB In-Situ Solution Mining EIS are available for public...

  6. ANALYSIS AND MODELING OF TWO FLARE LOOPS OBSERVED BY AIA AND EIS

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y.; Ding, M. D. [School of Astronomy and Space Science, Nanjing University, Nanjing 210093 (China); Qiu, J. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States)

    2012-10-10

    We analyze and model an M1.0 flare observed by SDO/AIA and Hinode/EIS to investigate how flare loops are heated and evolve subsequently. The flare is composed of two distinctive loop systems observed in extreme ultraviolet (EUV) images. The UV 1600 A emission at the feet of these loops exhibits a rapid rise, followed by enhanced emission in different EUV channels observed by the Atmospheric Imaging Assembly (AIA) and the EUV Imaging Spectrometer (EIS). Such behavior is indicative of impulsive energy deposit and the subsequent response in overlying coronal loops that evolve through different temperatures. Using the method we recently developed, we infer empirical heating functions from the rapid rise of the UV light curves for the two loop systems, respectively, treating them as two big loops with cross-sectional area of 5'' by 5'', and compute the plasma evolution in the loops using the EBTEL model. We compute the synthetic EUV light curves, which, with the limitation of the model, reasonably agree with observed light curves obtained in multiple AIA channels and EIS lines: they show the same evolution trend and their magnitudes are comparable by within a factor of two. Furthermore, we also compare the computed mean enthalpy flow velocity with the Doppler shift measurements by EIS during the decay phase of the two loops. Our results suggest that the two different loops with different heating functions as inferred from their footpoint UV emission, combined with their different lengths as measured from imaging observations, give rise to different coronal plasma evolution patterns captured both in the model and in observations.

  7. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  8. Effluent information system (EIS)/onsite discharge information system (ODIS) 1985 executive summary

    International Nuclear Information System (INIS)

    Watanabe, T.

    1986-09-01

    The Effluent Information System (EIS) and Onsite Discharge Information System (ODIS) are Department of Energy (DOE) data base systems that aid DOE-Headquarters and Field Offices in managing the radioactive air and liquid effluents from DOE facilities. Data on effluents released offsite are entered into EIS and data on effluents discharged onsite and retained onsite are entered into ODIS. This document is a summary of information obtained from the CY 1985 effluent data received from all DOE and DOE contractor facilities and entered in the data bases. Data from previous years are also included. The summary consists of two parts. The first part summarizes information for effluents released offsite, and the second part summarizes information for effluents retained onsite. These summaries are taken from the routine annual reports sent to each DOE Operations Office. Special tabulations or specific data can be supplied upon request. Explanations of the significant changes are included in the EIS and ODIS graphic sections. Only those changes in activity greater than a factor of two and having a magnitude greater than 0.1 Ci are considered significant and are addressed in the explanation

  9. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  10. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    Science.gov (United States)

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  11. Optical anisotropy induced by mechanical strain around the fundamental gap of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    We report on a theoretical-experimental study of reflectance anisotropy spectroscopy (RAS) of GaAs (001) crystals under uniaxial stress. The study was carried out in the energy region around the fundamental transition. RAS spectra in the energy range from 1.2-1.7 eV were measured with a photoelastic-modulator-based spectrometer. To induce an optical anisotropy, the GaAs crystals were thinned down to 400 {mu}m and an calibrated uniaxial stress was applied by deflection. RAS showed a line shape consisting of an oscillation at around E{sub 0}. On the basis of a perturbative approach employing the Pikus-Bir Hamiltonian, we calculated the RAS line shape and found a close agreement with the experimental spectra. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Effect of band gap narrowing on GaAs tunnel diode I-V characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Lebib, A.; Hannanchi, R. [Laboratoire d' énergie et de matériaux, LabEM-LR11ES34-Université de sousse (Tunisia); Beji, L., E-mail: lotbej_fr@yahoo.fr [Laboratoire d' énergie et de matériaux, LabEM-LR11ES34-Université de sousse (Tunisia); EL Jani, B. [Unité de Recherche sur les Hétéro-Epitaxies et Applications, Faculté des Sciences, Université de Monastir, 5019 Monastir (Tunisia)

    2016-12-01

    We report on experimental and theoretical study of current-voltage characteristics of C/Si-doped GaAs tunnel diode. For the investigation of the experimental data, we take into account the band-gap narrowing (BGN) effect due to heavily-doped sides of the tunnel diode. The BGN of the n- and p-sides of tunnel diode was measured by photoluminescence spectroscopy. The comparison between theoretical results and experimental data reveals that BGN effect enhances tunneling currents and hence should be considered to identify more accurately the different transport mechanisms in the junction. For C/Si-doped GaAs tunnel diode, we found that direct tunneling is the dominant transport mechanism at low voltages. At higher voltages, this mechanism is replaced by the rate-controlling tunneling via gap states in the forbidden gap.

  13. TEM study of the indentation behaviour of thin Au film on GaAs

    International Nuclear Information System (INIS)

    Patriarche, G.; Le Bourhis, E.; Faurie, D.; Renault, P.O.

    2004-01-01

    Au films of 8.9 nm thickness have been sputter deposited onto a (001) GaAs substrate at room temperature. An average grain size of 10 nm and no texture were obtained. Subsequent, nanoindentation tests were performed on the coated specimens and the mechanical response was compared to that of a bulk GaAs sample with the same crystallographic orientation. Furthermore, the loading-unloading curves were analysed in view of transmission electron microscopy plan-view images obtained on the deformed substrate-film specimens and compared to results previously reported in the literature for bulk sample. Constrained plasticity of the films was observed to occur for residual depth to thickness ratio below 0.67. Further, plastic deformation of the substrate happened on coated specimens at loads less than those required to plastically deform bare substrate

  14. Difference-frequency generation in the field of a few-cycle laser pulse propagating in a GaAs crystal with a domain structure

    International Nuclear Information System (INIS)

    Oganesyan, David L; Vardanyan, Aleksandr O; Oganesyan, G D

    2013-01-01

    Difference-frequency generation in a GaAs crystal with a periodic domain structure in the field of a few-cycle laser pulse is considered for the case of weakly pronounced material dispersion. The straight-line method is used to solve numerically the system of coupled nonlinear partial differential equations describing the evolution of the electric field of this laser pulse in GaAs crystals with periodic and chirped domain structures. It is shown that application of a GaAs crystal with a chirped domain structure makes it possible to control the frequency-modulation law for a broadband differencefrequency pulse. (nonlinear optical phenomena)

  15. Miks ma end ära ei tapa : [luuletused] / Paul-Eerik Rummo

    Index Scriptorium Estoniae

    Rummo, Paul-Eerik, 1942-

    2001-01-01

    Tekst eesti ja inglise k. P.-E. Rummo lühibiograafia eesti ja inglise k. lk. 131. Sisu: Miks ma end ära ei tapa = Why I don't kill myself ; "Maailm mu hinge ei tungind, vaid imbus..." = "The world did not invade my soul, it seeped into it..." ; "Taevas on kummargil üle maa..." = "The sky stoops over the earth..." ; "Nüüd anna jumal jaksu joosta juhuste sajus..." = "Now grant me God the strength to run through the rains of chance..." ; Jälle, jälle, jälle, jälle, jälle... = Again again again again again ; "maailm mille lõpp on mõeldav..." = "a world whose end is conceivable..." ; Kinni hoidmas = Clinging ; Poolüminal = Crooning

  16. A 2.5 gb/s GaAs ATM Mux Demux ASIC

    DEFF Research Database (Denmark)

    Madsen, Jens Kargaard; Lassen, Peter Stuhr

    1995-01-01

    This paper describes the design and implementation of a high speed GaAs ATM Mux Demur ASIC (AMDA) which is the key element in a high speed ATM Add-Drop unit. This unit is used in a new distributed ATM multiplexing-demultiplexing architecture for broadband switching systems. The Add-Drop unit...

  17. Manipulation and analysis of a single dopant atom in GaAs

    NARCIS (Netherlands)

    Wijnheijmer, A.P.

    2011-01-01

    This thesis focuses on the manipulation and analysis of single dopant atoms in GaAs by scanning tunneling microscopy (STM) and spectroscopy (STS) at low temperatures. The observation of ionization rings is one of the key results, showing that we can control the charge state of a single dopant atom

  18. Accelerated life testing and temperature dependence of device characteristics in GaAs CHFET devices

    Science.gov (United States)

    Gallegos, M.; Leon, R.; Vu, D. T.; Okuno, J.; Johnson, A. S.

    2002-01-01

    Accelerated life testing of GaAs complementary heterojunction field effect transistors (CHFET) was carried out. Temperature dependence of single and synchronous rectifier CHFET device characteristics were also obtained.

  19. Anomalous strain relaxation and light-hole character enhancement in GaAs capped InAs/In0.53Ga0.47As quantum ring

    International Nuclear Information System (INIS)

    Moon, Pilkyung; Park, Kwangmin; Yoon, Euijoon; Leburton, Jean-Pierre

    2009-01-01

    We theoretically investigated the strain profiles and the electronic structures of InAs/In 0.53 Ga 0.47 As quantum dot and GaAs capped quantum ring. In contrast to the intuitive expectation that the GaAs layer applies a strong compressive strain along the lateral directions of InAs, the GaAs embedded in the In 0.53 Ga 0.47 As matrix provides enough space for the InAs relaxation. The GaAs embedded in In 0.53 Ga 0.47 As acts as potential barrier for both electrons and heavy-holes, and as potential well for light-holes. Each hole state of the quantum ring exhibits two to eight times larger light-hole character than that of a quantum dot. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. GaAs Wideband Low Noise Amplifier Design for Breast Cancer Detection System

    DEFF Research Database (Denmark)

    Yan, Lei; Krozer, Viktor; Delcourt, Sebastien

    2009-01-01

    Modern wideband systems require low-noise receivers with bandwidth approaching 10 GHz. This paper presents ultra-wideband stable low-noise amplifier MMIC with cascode and source follower buffer configuration using GaAs technology. Source degeneration, gate and shunt peaking inductors are used...

  1. The Development of a GaAs MMIC Reliability and Space Qualification Guide

    Science.gov (United States)

    Ponchak, G.; Kayali, S.; Huang, H-C.

    1994-01-01

    This paper discusses the need for a space qualification guide, provides a brief description of some common GaAs failure mechanisms, the approach that the NASA MMIC Reliability Assurance Program is following to develop the guide, and the status of the program.

  2. Laser-induced bandgap collapse in GaAs

    Science.gov (United States)

    Siegal, Y.; Glezer, Eli N.; Huang, Li; Mazur, Eric

    1994-05-01

    We present recent time-resolved measurements of the linear dielectric constant of GaAs at 2.2 eV and 4.4 eV following femtosecond laser pulse excitation. In sharp contrast to predictions based on the widely used Drude model, the data show an interband absorption peak coming into resonance first with the 4.4 eV probe photon energy and then with the 2.2 eV probe photon energy, indicating major changes in the band structure. The time scale for these changes ranges from within 100 fs to a few picoseconds, depending on the incident pump pulse fluence.

  3. Weak interaction between germanene and GaAs(0001) by H intercalation: A route to exfoliation

    KAUST Repository

    Kaloni, Thaneshwor P.

    2013-11-13

    Epitaxial germanene on a semiconducting GaAs(0001) substrate is studied by ab initio calculations. The germanene-substrate interaction is found to be strong for direct contact but can be substantially reduced by H intercalation at the interface. Our results indicate that it is energetically possible to take the germanene off the GaAs(0001) substrate. While mounted on the substrate, the electronic structure shows a distinct Dirac cone shift above the Fermi energy with a splitting of 175 meV. On the other hand, we find for a free standing sheet a band gap of 24 meV, which is due to the intrinsic spin orbit coupling.

  4. Weak interaction between germanene and GaAs(0001) by H intercalation: A route to exfoliation

    KAUST Repository

    Kaloni, Thaneshwor P.; Schwingenschlö gl, Udo

    2013-01-01

    Epitaxial germanene on a semiconducting GaAs(0001) substrate is studied by ab initio calculations. The germanene-substrate interaction is found to be strong for direct contact but can be substantially reduced by H intercalation at the interface. Our results indicate that it is energetically possible to take the germanene off the GaAs(0001) substrate. While mounted on the substrate, the electronic structure shows a distinct Dirac cone shift above the Fermi energy with a splitting of 175 meV. On the other hand, we find for a free standing sheet a band gap of 24 meV, which is due to the intrinsic spin orbit coupling.

  5. Final states in Si and GaAs via RF μSR spectroscopy

    International Nuclear Information System (INIS)

    Kreitzman, S.R.; Pfiz, T.; Riseman, T.M.; Brewer, J.H.; Williams, D.L.; Sun-Mack, S.; Estle, T.L.

    1991-01-01

    The ionization of muonium centers in Si and GaAs have been studied using radio frequency (RF) resonant techniques. In Si all three muonic centers are detectable by RF. No evidence was found for delayed Mu and Mu * states at any temperature. However, our results on the diamagnetic final state (μ f + ) show that it is composed of prompt fractions (as seen by conventional μSR) and delayed fractions arising from the ionization of Mu * and Mu. We observe a full μ f + fraction at 317 K when the Mu relaxation rate is above 10 μs -1 . GaAs differs from the situation in Si in that we observed only a partial conversion of Mu * and Mu to a μ + final state up to 310 K in spite of the fact that the transverse field relaxation rates become very high at 150 and 250 K respectively. (orig.)

  6. Final states in Si and GaAs via RF μSR spectroscopy

    Science.gov (United States)

    Kreitzman, S. R.; Pfiz, T.; Sun-Mack, S.; Riseman, T. M.; Brewer, J. H.; Williams, D. Ll.; Estle, T. L.

    1991-02-01

    The ionization of muonium centers in Si and GaAs have been studied using radio frequency (RF) resonant techniques. In Si all three muonic centers are detectable by RF. No evidence was found for delayed Mu and Mu* states at any temperature. However, our results on the diamagnetic final state (μ{f/+}) show that it is composed of prompt fractions (as seen by conventional μSR) and delayed fractions arising from the ionization of Mu* and Mu. We observe a full μ{f/+} fraction at 317 K when the Mu relaxation rate is above 10 μs-1. GaAs differs from the situation in Si in that we observed only a partial conversion of Mu* and Mu to a μ+ final state up to 310 K in spite of the fact that the transverse field relaxation rates become very high at 150 and 250 K respectively.

  7. Maksuamet ei välista Concordia pankrotti / Alo Lõhmus

    Index Scriptorium Estoniae

    Lõhmus, Alo

    2003-01-01

    Maksuamet nendib, et kui Concordia ülikool ei tule toime oma võlgade maksmisega esmaspäevaks, võib kõne alla tulla ka kooli pankroti algatamine. Eesti Üliõpilaskondade Liit ja Concordia Ülikooli Eesis Üliõpilasesindus korraldavad Concordia probleeme käsitleva konverentsi "Kes vastutab Concordia tudengite eest?"

  8. EIS Response of MIC on Carbon Steel

    DEFF Research Database (Denmark)

    Hilbert, Lisbeth Rischel; Maahn, Ernst

    1998-01-01

    Abstract Microbially influenced corrosion of carbon steel under sulphate reducing (sulphide-producing) bacterial activity (SRB) results in the formation of both ferrous sulphides as well as biofilm on the metal surface. The electrochemical characteristics of the ferrous sulphide/steel interface...... as compared to the biofilm/ferrous sulphide/steel interface has been studied with EIS, DC polarisations (Tafel, LPR) and a potentiostatic step technique. The electrochemical response is related to a threshold sulphide concentration above which very characteristic changes such as indications of finite...

  9. Simulation of quantum dots size and spacing effect for intermediate band solar cell application based on InAs quantum dots arrangement in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Hendra, P. I. B., E-mail: ib.hendra@gmail.com; Rahayu, F., E-mail: ib.hendra@gmail.com; Darma, Y., E-mail: ib.hendra@gmail.com [Physical Vapor Deposition Laboratory, Physics of Material Electronics Research, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Jl. Ganesha 10, Bandung 40132 (Indonesia)

    2014-03-24

    Intermediate band solar cell (IBSC) has become a promising technology in increasing solar cell efficiency. In this work we compare absorption coefficient profile between InAs quantum dots with GaAs bulk. We calculate the efficiency of GaAs bulk and GaAs doped with 2, 5, and 10 nm InAs quantum dot. Effective distances in quantum dot arrangement based on electron tunneling consideration were also calculated. We presented a simple calculation method with low computing power demand. Results showed that arrangement of quantum dot InAs in GaAs can increase solar cell efficiency from 23.9 % initially up to 60.4%. The effective distance between two quantum dots was found 2 nm in order to give adequate distance to prevent electron tunneling and wave functions overlap.

  10. 78 FR 54871 - Notice of Amendment to the Notice of Intent To Prepare an Environmental Impact Statement (EIS...

    Science.gov (United States)

    2013-09-06

    ... alternatives and a ``no action'' alternative in the EIS. An EIS will be prepared to assess the potential social... archeological resources; air and water quality; noise; recreation; land use; and aesthetics. c. The Corps will...

  11. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  12. Euroopa naabruspoliitika ei ole viisakas äraütlemine / Marianne Mikko

    Index Scriptorium Estoniae

    Mikko, Marianne, 1961-

    2006-01-01

    Euroopa Parlamendi liikme sõnul reageeris europarlament Transnistria rahvahääletusele resolutsiooniga, andes märku, et ei tunnusta separatiste, nende korraldatud rahvahääletusi ega hääletustulemusi

  13. The nuclear reaction analysis (NRA) as a means for detecting carbon in GaAs and in source materials and additives

    International Nuclear Information System (INIS)

    Bethge, K.; Mader, A.; Michelmann, R.; Krauskopf, J.; Thee, P.; Meyer, J.D.

    1991-01-01

    The nuclear reaction ananlysis (NRA) on the basis of the reaction 12 C (d,p) 13 C is a method allowing the detection and description of both lateral and depth profiles of the presence of carbon in GaAs and in the source materials and additives. The NRA is an absolute method with a detection limit for C of approx. 4x10 15 cm 3 . The achievable detection range in depth under the experimental conditions goes from the surface down to 6 μm. Combined with channeling measurements, NRA is capable of identifying the position of carbon in the GaAs crystal lattice, and thus permits to examine the mobility of C in GaAs. (BBR) With 11 refs [de

  14. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    Directory of Open Access Journals (Sweden)

    Florent E Angly

    2009-12-01

    Full Text Available Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS, a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and

  15. MIM capacitors with various Al2O3 thicknesses for GaAs RFIC application

    International Nuclear Information System (INIS)

    Zhou Jiahui; Xu Wenjun; Li Qi; Li Simin; He Zhiyi; Li Haiou; Chang Hudong; Liu Honggang; Liu Guiming

    2015-01-01

    The impact of various thicknesses of Al 2 O 3 metal—insulator—metal (MIM) capacitors on direct current and radio frequency (RF) characteristics is investigated. For 20 nm Al 2 O 3 , the fabricated capacitor exhibits a high capacitance density of 3850 pF/mm 2 and acceptable voltage coefficients of capacitance of 681 ppm/V 2 at 1 MHz. An outstanding VCC-α of 74 ppm/V 2 at 1 MHz, resonance frequency of 8.2 GHz and Q factor of 41 at 2 GHz are obtained by 100 nm Al 2 O 3 MIM capacitors. High-performance MIM capacitors using GaAs process and atomic layer deposition Al 2 O 3 could be very promising candidates for GaAs RFIC applications. (paper)

  16. Modulation of low-frequency oscillations in GaAs MESFETs' channel current by sidegating bias

    Institute of Scientific and Technical Information of China (English)

    DING Yong; LU Shengli; ZHAO Fuchuan

    2005-01-01

    Low-frequency oscillations in channel current are usually observed when measuring the GaAs MESFET's output characteristics. This paper studies the oscillations by testing the MESFET's output characteristics under different sidegate bias conditions. It is shown that the low-frequency oscillations of channel current are directly related to the sidegate bias. In other words, the sidegate bias can modulate the oscillations. Whether the sidegate bias varies positively or negatively, there will inevitably be a threshold voltage after which the low-frequency oscillations disappear. The observation is strongly dependent upon the peculiarities of channel-substrate (C-S) junction and impact ionization of traps-EL2 under high field. This conclusion is of particular pertinence to the design of low-noise GaAs IC's.

  17. Nucleation of point defects in low-fluence ion-implanted GaAs and GaP

    International Nuclear Information System (INIS)

    Wesch, W.; Wendler, E.; Gaertner, K.

    1992-01-01

    The defect production due to low-fluence medium-mass ion implantation into GaAs and GaP at room temperature is investigated. In the parameter region analysed weakly damaged layers are created containing point defects and point defects complexes. Temperature dependent channeling measurements show different structures of the damage produced in the two materials. The depth profiles of the near-edge optical absorption coefficient K sufficiently correspond to the profiles of the primarily produced vacancy concentration N vac . The absorption coefficient K(N vac ) determined from the depth profiles of the two magnitudes shows a square root dependence for GaAs, whereas for GaP a linear dependence is found. The differences observed are discussed in the frame of different nucleation mechanisms. (orig.)

  18. Predicting risk of school refusal: Examining the incremental role of trait EI beyond personality and emotion regulation

    Directory of Open Access Journals (Sweden)

    Filippello Pina

    2018-01-01

    Full Text Available Research has not yet been deepened in the link between personality factors and risk of school refusal. Furthermore, previous studies fail to verify the direct relation between trait EI and the risk of school refusal. The present study examined personality traits, emotion regulation and trait EI for the contributory role they may play in predicting the risk of school refusal. The sample consisted of 311 participants, 112 males (36% and 199 females (64% with an average age of 14.19 (SD = .60, from a high school in the city of Messina (Sicily, Italy. Results show that the risk of school refusal is positively related to neuroticism and maladaptive emotion regulation strategies, while it is negatively related to the extroversion, agreeableness and conscientiousness and trait EI. Moreover, trait EI can be considered as a strong incremental negative predictor of risk of school refusal over and above personality traits and emotion regulation.

  19. Eesti Gaas. Inspection of Kohtal-Jaerve - Tallinn pipeline. Final report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-11-01

    The project `Inspection of Pipelines in Estonia` was funded by Danish government and was carried out in collaboration between DONG, Balslev and FORCE. By agreement between Eesti Gaas and DONG, the pipeline system between Kohtla-Jaerve and Tallinn was selected for inspection. This pipeline system has a total length of 176.9 km. The objective of the project has been, based on detailed inspection of relative short lengths of pipe, to determine the integrity of the pipeline and to give advice concerning the continued use as a high pressure transmission pipeline. The objectives have been met as set out by the project Proposal with the investigation and this report as follows: Based on a document review together with the measurements and observations in the field and in the laboratory, the condition of approximately 5 km of the pipeline has been evaluated; Recommendations are given in this report with the aim of extending the life of the pipeline. A further objective has been to provide know-how transfer in order to permit Eesti Gaas to run similar inspections on other parts of their transmission system. (EG)

  20. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  1. Reformierakond ei kauple põhimõtetega / Meelis Atonen

    Index Scriptorium Estoniae

    Atonen, Meelis, 1966-

    2001-01-01

    Ilmunud ka: Hiiu Leht, 11. dets. 2001, lk. 2; Nädaline, 11. dets. 2001, lk. 4; Valgamaalane, 11. dets. 2001, lk. 2; Järva Teataja, 11. dets. 2001, lk. 2; Kesknädal, 19. dets. 2001, lk. 5. Tallinna võimuliidu lagunemise peapõhjus oli Tallinna 2002. aasta eelarvesse kavandatud 900 mln kuni 1,5 mld krooni suurune laen, millega Reformierakond ei ole kunagi nõustnud. Autor: Reformierakond. Parlamendisaadik

  2. Kultuur isiksuse psühholoogiat ei mõjuta / Tiit Kändler

    Index Scriptorium Estoniae

    Kändler, Tiit, 1948-

    2010-01-01

    Psühholoogia uuemate andmete kohaselt ei sõltu indiviidi seadumus kultuurist, soost, vanusest, haridusest. Eesti psühholoogide Jüri Alliku ja Ann Realo osalusel ajakirjas "Journal Personality and Social Psychology" ilmunud artiklist

  3. Kultuur isiksuse psühholoogiat ei mõjuta / Tiit Kändler

    Index Scriptorium Estoniae

    Kändler, Tiit, 1948-

    2005-01-01

    Psühholoogia uuemate andmete kohaselt ei sõltu indiviidi seadumus kultuurist, soost, vanusest, haridusest. Eesti psühholoogide Jüri Alliku ja Anu Realo osalusel ajakirjas "Journal Personality and Social Psychology" ilmunud artiklist

  4. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    International Nuclear Information System (INIS)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S.V.

    2001-01-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below E c ) and at 415 K (0.9 below E c ); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below E c known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below E c is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species

  5. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    Science.gov (United States)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S. V.

    2001-10-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below Ec) and at 415 K (0.9 below Ec); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below Ec known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below Ec is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species.

  6. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    International Nuclear Information System (INIS)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S.V.

    2004-01-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below E c ) and at 415 K (0.9 below E c ); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below E c known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below E c is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species. (author)

  7. Photoelectric characteristics of metal-Ga{sub 2}O{sub 3}-GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Kalygina, V. M., E-mail: Kalygina@ngs.ru; Vishnikina, V. V.; Petrova, Yu. S.; Prudaev, I. A.; Yaskevich, T. M. [National Research Tomsk State University (Russian Federation)

    2015-03-15

    We investigate the effect of thermal annealing in argon and of oxygen plasma processing on the photoelectric properties of GaAs-Ga{sub 2}O{sub 3}-Me structures. Gallium-oxide films are fabricated by photostimulated electrochemical oxidation of epitaxial gallium-arsenide layers with n-type conductivity. The as-deposited films were amorphous, but their processing in oxygen plasma led to the nucleation of β-Ga{sub 2}O{sub 3} crystallites. The unannealed films are nontransparent in the visible and ultraviolet (UV) ranges and there is no photocurrent in structures based on them. After annealing at 900°C for 30 min, the gallium-oxide films contain only β-Ga{sub 2}O{sub 3} crystallites and become transparent. Under illumination of the Ga{sub 2}O{sub 3}-GaAs structures with visible light, the photocurrent appears. This effect can be attributed to radiation absorption in GaAs. The photocurrent and its voltage dependence are determined by the time of exposure to the oxygen plasma. In the UV range, the sensitivity of the structures increases with decreasing radiation wavelength, starting at λ ≤ 230 nm. This is due to absorption in the Ga{sub 2}O{sub 3} film. Reduction in the structure sensitivity with an increase in the time of exposure to oxygen plasma can be caused by the incorporation of defects both at the Ga{sub 2}O{sub 3}-GaAs interface and in the Ga{sub 2}O{sub 3} film.

  8. Effects of surface states on device and interconnect isolation in GaAs MESFET and InP MISFET integrated circuits

    International Nuclear Information System (INIS)

    Hasegawa, H.; Kitagawa, T.; Masuda, H.; Yano, H.; Ohno, H.

    1985-01-01

    Surface electrical breakdown and side-gating which cause failure of device and interconnect isolation are investigated for GaAs MESFET and InP MISFET integrated circuit structures. Striking differences in behavior are observed between GaAs and InP as regards to the surface conduction, surface breakdown and side-gating. These differences are shown to be related to the surface state properties of the insulator-semiconductor interface. In GaAs, high density of surface states rather than bulk trap states control the surface I-V characteristics and side-gating, causing serious premature avalanche breakdown and triggering side-gating at a low nominal field intensity of 1-3 kV/cm. On the other hand, InP MISFET integrated circuits are virtually free from these premature breakdown and side-gating effect under normal dark operating condition because of very low surface state density

  9. Design and characterisation of high electron mobility transistors for use in a monolithic GaAs X-ray imaging sensor

    International Nuclear Information System (INIS)

    Boardman, D.A.; Sellin, P.J.

    2001-01-01

    A new design of monolithic GaAs pixel detector is proposed for medical and synchrotron applications. In this device a semi-insulating GaAs wafer will be used as both the detector element and the substrate for the integrated charge readout matrix. The charge readout matrix consists of High Electron Mobility Transistors (HEMTs), which are grown epitaxially onto the GaAs substrate. Experimental characterisation of HEMTs has been carried out and their suitability for the proposed imaging device is assessed. Temperature measurements on initial devices showed the threshold voltage to be stable from room temperature down to -15 degree sign C. HEMT designs with lower leakage current that operate in enhancement mode have been fabricated and modelled using the Silvaco simulation package. These optimised devices have been fabricated using a gate recess, and exhibit enhancement mode operation and significantly reduced gate leakage currents

  10. Energy information systems (EIS): Technology costs, benefit, and best practice uses

    Energy Technology Data Exchange (ETDEWEB)

    Granderson, Jessica; Lin, Guanjing; Piette, Mary Ann

    2013-11-26

    Energy information systems are the web-based software, data acquisition hardware, and communication systems used to store, analyze, and display building energy data. They often include analysis methods such as baselining, benchmarking, load profiling, and energy anomaly detection. This report documents a large-scale assessment of energy information system (EIS) uses, costs, and energy benefits, based on a series of focused case study investigations that are synthesized into generalizable findings. The overall objective is to provide organizational decision makers with the information they need to make informed choices as to whether or not to invest in an EIS--a promising technology that can enable up to 20 percent site energy savings, quick payback, and persistent low-energy performance when implemented as part of best-practice energy management programs.

  11. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  12. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  13. 1 GHz GaAs Buck Converter for High Power Amplifier Modulation Applications

    NARCIS (Netherlands)

    Busking, E.B.; Hek, A.P. de; Vliet, F.E. van

    2012-01-01

    A fully integrated 1 GHz buck converter output stage, including on-chip inductor and DC output filtering has been realized, in a standard high-voltage breakdown GaAs MMIC technology. This is a significant step forward in designing highspeed power control of supply-modulated HPAs (high power

  14. Transient photoluminescence decay investigations of LPE GaAs heteroface solar cells

    International Nuclear Information System (INIS)

    Wettling, W.; Ehrhardt, A.; Brett, A.; Lutz, F.

    1990-01-01

    The transient photoluminescence decay (PLD) is investigated as a technique for the quality control of GaAs solar cells. An analytic expression for the PL intensity is derived from the time dependent continuity equation for minority carrier concentration in the emitter by the Fourier transform method. On both sides of the emitter, i.e. at the interface to the window layer and to the space charge region, surface recombination velocities that can vary between 0 and ∞ are allowed as boundary conditions. Experiments were performed using a mode-locked and cavity dumped laser as excitation source and an optical sampling oscilloscope as detector for the transient PL. PLD from GaAs wafers and solar cells was measured with time resolution of down to 20 ps for various intensities of laser excitation and (for the cells) under open-circuit and short-circuit condition. The results are discussed in respect to the theory together with a model of local internal boundary conditions at the junction near the exciting laser beam

  15. Turvalisust ei taga vaid sinine vilkur / Ken-Marti Vaher

    Index Scriptorium Estoniae

    Vaher, Ken-Marti, 1974-

    2005-01-01

    Kuritegevuse vastasest võitlusest. Sama ka Pärnu Postimees 8. juuni 2005, lk. 15 ; Hiiu Leht 10. juuni 2005, lk. 2 ; Vali Uudised 8. juuni 2005, lk. 2 artiklis pealkiri kujul: Turvalisust ei taga ainuüksi sinine vilkur ; Nädaline 14. juuni 2005, lk. 4 ; Koit 14. juuni 2005, lk. 6 ; Valgamaalane 5. juuli 2005, lk. 2

  16. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  17. Väljaöeldut tagasi võtta ei saa / Raivo Uukkivi

    Index Scriptorium Estoniae

    Uukkivi, Raivo, 1962-

    2007-01-01

    Õpetaja suhtumisest õpilasse. Õpetaja professionaalsusest ja tema missioonitundest. Vastukaja artiklile: Krull, Edgar. Kõvad seadused ja politsei ei vabasta kohustusest kasvatada // Õpetajate Leht (2007) 16. veebr., lk. 5. Jüri Gümnaasiumi koolikonfliktist

  18. Endine turujuht end süüdi ei tunnistanud / Hannes Krause

    Index Scriptorium Estoniae

    Krause, Hannes

    2005-01-01

    Vadim Polishtshuk, keda süüdistatakse koos kahe kaaslasega Mait Metsamaa mõrvaprotsessi peamise tunnistaja ähvardamise ja mõjutamise katses, ei tunnistanud end 19. septembril Tallinna linnakohtus alanud protsessil süüdi

  19. HIV-positiivsed ei leia tihti tööd / Kadri Ibrus

    Index Scriptorium Estoniae

    Ibrus, Kadri

    2010-01-01

    Enamik Eesti HIV-positiivseid inimesi ei tööta. Eestit nõustanud USA eksperdi David Parkeri väitel tuleks HIV-positiivset aidata sotsiaalselt paremini hakkama saama, tegelema peaks korraga kõigi tema probleemidega

  20. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  1. 76 FR 21401 - Notice of Availability of the Draft EIS for the HB In-Situ Solution Mine Project, Eddy County, NM

    Science.gov (United States)

    2011-04-15

    ...] Notice of Availability of the Draft EIS for the HB In-Situ Solution Mine Project, Eddy County, NM AGENCY... prepared a Draft Environmental Impact Statement (EIS) for the HB In- Situ Solution Mine Project, and by... considered, the BLM must receive written comments on the HB In-Situ Solution Mine Project Draft EIS within 60...

  2. Photoluminescence of highly compensated GaAs doped with high concentration of Ge

    Science.gov (United States)

    Watanabe, Masaru; Watanabe, Akira; Suezawa, Masashi

    1999-12-01

    We have studied the photoluminescence (PL) properties of Ge-doped GaAs crystals to confirm the validity of a theory developed by Shklovskii and Efros to explain the donor-acceptor pair (DAP) recombination in potential fluctuation. GaAs crystals doped with Ge of various concentrations were grown by a liquid-encapsulated Czochralski method. They were homogenized by annealing at 1200°C for 20 h under the optimum As vapor pressure. Both quasi-continuous and time-resolved PL spectra were measured at 4.2 K. The quasi-continuous PL spectra showed that the peak position shifted to lower energy as the Ge concentration increased, which was consistent with the Shklovskii and Efros's theory. Under very strong excitation in time-resolved measurements, the exciton peak appeared within short periods after excitation and then the peak shifted to that of DAP recombination. This clearly showed that the potential fluctuation disappeared under strong excitation and then recovered as the recombination proceeded.

  3. OpenEIS. Developer Guide

    Energy Technology Data Exchange (ETDEWEB)

    Lutes, Robert G. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Neubauer, Casey C. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Haack, Jereme N. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Carpenter, Brandon J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Monson, Kyle E. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Allwardt, Craig H. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Sharma, Poorva [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Akyol, Bora A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2015-03-31

    The Department of Energy’s (DOE’s) Building Technologies Office (BTO) is supporting the development of an open-source software tool for analyzing building energy and operational data: OpenEIS (open energy information system). This tool addresses the problems of both owners of building data and developers of tools to analyze this data. Building owners and managers have data but lack the tools to analyze it while tool developers lack data in a common format to ease development of reusable data analysis tools. This document is intended for developers of applications and explains the mechanisms for building analysis applications, accessing data, and displaying data using a visualization from the included library. A brief introduction to the visualizations can be used as a jumping off point for developers familiar with JavaScript to produce their own. Several example applications are included which can be used along with this document to implement algorithms for performing energy data analysis.

  4. Increasing the quantum efficiency of GaAs solar cells by embedding InAs quantum dots

    Science.gov (United States)

    Salii, R. A.; Mintairov, S. A.; Nadtochiy, A. M.; Payusov, A. S.; Brunkov, P. N.; Shvarts, M. Z.; Kalyuzhnyy, N. A.

    2016-11-01

    Development of Metalorganic Vapor Phase Epitaxy (MOVPE) technology of InAs quantum dots (QDs) in GaAs for photovoltaic applications is presented. The growth peculiarities in InAs-GaAs lattice-mismatched system were considered. The photoluminescence (PL) intensity dependences on different growth parameters were obtained. The multimodal distribution of QDs by sizes was found using AFM and PL methods. GaAs solar cell nanoheterostructures with imbedded QD arrays were designed and obtained. Ones have been demonstrated a significant increase of quantum efficiency and photogenerated current of QD solar cells due to photo effect in InAs QD array (0.59 mA/cm2 for AM1.5D and 82 mA/cm2 for AM0).

  5. 76 FR 21003 - Notice of Intent To Prepare a Programmatic Environmental Impact Statement (EIS) and Possible Land...

    Science.gov (United States)

    2011-04-14

    ... Prepare a Programmatic Environmental Impact Statement (EIS) and Possible Land Use Plan Amendments for... to prepare a Programmatic EIS for Allocation of Oil Shale and Tar Sands Resources on Lands... through local media, newsletters, and the project Web site at: http://blm.gov/st5c . The minutes and list...

  6. 75 FR 51448 - Withdrawal of Notice for Preparation of an Environmental Impact Statement (EIS) for the Arkansas...

    Science.gov (United States)

    2010-08-20

    ... sustainable solutions for reducing head-cutting and scouring in the Ark-White Cutoff area. The two rivers are... Preparation of an Environmental Impact Statement (EIS) for the Arkansas White River Cutoff Study AGENCY... Impact Statement (EIS) for the Arkansas White River Cutoff Study. The original Notice of Intent (NOI) was...

  7. Poliitikud ei leidnud staadioni planeeringule uusi lahendusi / Eno-Gerrit Link

    Index Scriptorium Estoniae

    Link, Eno-Gerrit

    2008-01-01

    Riigikogu Pärnumaa saadikuteühenduse ja Pärnu linnavõimu esindajate ning spordiseltsi Kalev juhtide nõupidamine Kalevi staadioni ümberehituse käimalükkamiseks lõppes tulemusteta, sest kõiki osapooli rahuldava tulemuseni ei jõutud. Kommenteerivad Mark Soosaar ja Vello Järvesalu

  8. Ei Compendex: A new database makes life easier for engineers

    CERN Multimedia

    2001-01-01

    The Library is expanding its range of databases. The latest arrival, called Ei Compendex, is the world's most comprehensive engineering database, which indexes engineering literature published throughout the world. It also offers bibliographic entries for articles published in scientific journals and for conference proceedings and covers an extensive range of subjects from mechanical engineering to the environment, materials science, solid state physics and superconductivity. Moreover, it is the most relevant quality control and engineering management database. Ei Compendex contains over 4.6 million references from over 2600 journals, conference proceedings and technical reports dating from 1966 to the present. Every year, 220,000 new abstracts are added to the database which is also updated on a weekly basis. In the case of articles published in recent years, it provides an electronic link to the full texts of all major publishers. The database also contains the full texts of Elsevier periodicals (over 250...

  9. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  10. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  11. GaAs circuit restructuring by multi-level laser-direct-written tungsten process

    International Nuclear Information System (INIS)

    Black, J.G.; Doran, S.P.; Rothschild, M.; Sedlacek, J.H.C.; Ehrlich, D.J.

    1987-01-01

    Laser-direct-writing processes are employed to fabricate a GaAs digital integrated circuit. The lithography-free techniques deposit and etch conductors and resistors, and remove insulating layers, thus enabling multilevel interconnections. These combined direct-write processes provide the flexibility of clip-lead prototyping on a micrometer scale

  12. Sakslastelt tuumaenergiale selge "ei". Merkel on sunnitud tuumaplaane korrigeerima / Sirje Rank

    Index Scriptorium Estoniae

    Rank, Sirje, 1966-

    2011-01-01

    Ligi 60 aastat Daimleri ja Porsche liidumaal valitsenud kristlikud demokraadid said kohalikel valimistel Baden-Württembergis halvima valimisulemuse alates 1952. aastast ja arvatakse, et Saksamaa kantsler Angela Merkel ei käivitagi enamikku ajutiselt suletud vanemaid tuumareaktoreid

  13. In situ transmission electron microscopy analyses of thermally annealed self catalyzed GaAs nanowires grown by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Ambrosini, S.; Wagner, Jakob Birkedal; Booth, Tim

    2011-01-01

    Self catalyzed GaAs nanowires grown on Si-treated GaAs substrates were studied with a transmission electron microscope before and after annealing at 600◦C. At room temperature the nanowires have a zincblende structure and are locally characterized by a high density of rotational twins and stacking...... faults. Selected area diffraction patterns and high-resolution transmission electron microscopy images show that nanowires undergo structural modifications upon annealing, suggesting a decrease of defect density following the thermal treatment....

  14. Spectral dependence of the refractive index of single-crystalline GaAs for optical applications

    International Nuclear Information System (INIS)

    Plotnichenko, V G; Nazaryants, V O; Kryukova, E B; Dianov, E M

    2010-01-01

    The refractive index of crystalline GaAs is measured by the method of interference refractometry in the wavenumber range from 10 500 to 540 cm -1 (or the wavelength range from 0.9 to 18.6 μm) with a resolution of 0.1 cm -1 . The measurement results are approximated by the generalized Cauchy dispersion formula of the 8th power. Spectral wavelength dependences of the first- and second-order derivatives of the refractive index are calculated, and the zero material dispersion wavelength is found to be λ 0 = 6.61 μm. Using three GaAs plates of different thicknesses we managed to raise the refractive index measurement accuracy up to 4 x 10 -4 or 0.02%, being nearly by an order of magnitude better than the data available.

  15. CDW-EIS model for single-electron capture in ion-atom collisions involving multielectronic targets

    International Nuclear Information System (INIS)

    Abufager, P N; MartInez, A E; Rivarola, R D; Fainstein, P D

    2004-01-01

    A generalization of the continuum distorted wave eikonal initial state (CDW-EIS) approximation, for the description of single-electron capture in ion-atom collisions involving multielectronic targets is presented. This approximation is developed within the framework of the independent electron model taking particular care of the representation of the bound and continuum target states. Total cross sections for single-electron capture from the K-shell of He, Ne and Ar noble gases by impact of bare ions are calculated. Present results are compared to previous CDW-EIS ones and to experimental data

  16. Eesti ei pea ümberasujatele midagi tagastama / Helle Kalda

    Index Scriptorium Estoniae

    Kalda, Helle, 1950-

    2006-01-01

    Omandireformi aluste seaduse 7 paragrahvi lõikest 3 ja varade tagastamisest nn. järelümberasunutele. Sama ka Meie Maa 12. jaan. 2006, lk. 2 ; Vooremaa 17. jaan. 2006, lk. 2 ; Virumaa Teataja 2. veeb. 2006, lk. 11 ; Pärnu Postimees 9. veeb. 2006, lk. 15 ; Pärnu Postimees 9. veeb. 2006, lk. 15, pealkiri kujul : Ümberasujatele ei pea midagi tagastama

  17. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  18. Energy Band Structure Studies Of Zinc-Blende GaAs and InAs ...

    African Journals Online (AJOL)

    A self-consistent calculation of the structural and electronic properties of zinc blende GaAs and InAs has been carried out. The calculations were done using the full potential-linearized augmented plane wave (FPLAPW) method within the density functional theory (DFT). The exchange-correlation energy used is the ...

  19. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  20. Milliwatt-level output power in the sub-terahertz range generated by photomixing in a GaAs photoconductor

    Science.gov (United States)

    Peytavit, E.; Lepilliet, S.; Hindle, F.; Coinon, C.; Akalin, T.; Ducournau, G.; Mouret, G.; Lampin, J.-F.

    2011-11-01

    It is shown from accurate on-wafer measurement that continuous wave output powers of 1.2 mW at 50 GHz and 0.35 mW at 305 GHz can be generated by photomixing in a low temperature grown GaAs photoconductor using a metallic mirror Fabry-Pérot cavity. The output power is improved by a factor of about 100 as compared to the previous works on GaAs photomixers. A satisfactory agreement between the theory and the experiment is obtained in considering both the contribution of the holes and the electrons to the total photocurrent.

  1. Intermediate band solar cell simulation use InAs quantum dot in GaAs

    International Nuclear Information System (INIS)

    Hendra P, I. B.; Rahayu, F.; Sahdan, M. F.; Darma, Y.

    2015-01-01

    Intermediate band solar cell (IBSC) has become a new approach in increasing solar cell efficiency significantly. One way to create intermediate band is by proposing quantum dots (QD) technology. One of the important aspects in utilizing IBSC is the absorption of light. In this work we simulated the influence of QD arrangement in order to increase absorption coefficient and solar cell efficiency. We also simulated the influence of QD size to capture a wider light spectrum. We present a simple calculation method with low computing power demand. Results show that the increasing in quantum dot size can increase in capturing wider spectrum of light. Arrangement InAs QD in bulk material GaAs can capture wider spectrum of light and increase the absorption coefficient. The arrangement InAs QD 2 nm in GaAs bulk can increase solar cell efficiency up to 49.68%

  2. Effect of the V{sub As}V{sub Ga} complex defect doping on properties of the semi-insulating GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Deming, E-mail: xautmdm@163.com; Qiao, Hongbo; Shi, Wei; Li, Enling [Department of Applied Physics, Xi' an University of Technology, Xi' an 710054 (China)

    2014-04-21

    The different position V{sub As}V{sub Ga} cluster defect doping in semi-insulating (SI) GaAs has been studied by first-principles calculation based on hybrid density functional theory. Our calculated results show that EL6 level is formed due to the V{sub As}V{sub Ga} complex defect, which is very close to the experimental result. It provides the explanation of the absorption of laser with the wavelength beyond in semi-insulating GaAs. The formation energy of V{sub As}V{sub Ga} complex defect is found to decrease from surface to interior gradually. The conduction band minima and valence band maxima of GaAs (001) surface with the V{sub As}V{sub Ga} complex defect are all located at Γ point, and some defect levels are produced in the forbidden band. In contrast, the conduction band minima and valence band maxima of GaAs with the interior V{sub As}V{sub Ga} complex defect are not located at the same k-point, so it might involve the change of momentum in the electron transition process. The research will help strengthen the understanding of photoelectronic properties and effectively guide the preparation of the SI-GaAs materials.

  3. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    International Nuclear Information System (INIS)

    Auden, E.C.; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-01-01

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al_0_._3Ga_0_._7As/GaAs/Al_0_._2_5Ga_0_._7_5As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  4. Modeling charge collection efficiency degradation in partially depleted GaAs photodiodes using the 1- and 2-carrier Hecht equations

    Energy Technology Data Exchange (ETDEWEB)

    Auden, E.C., E-mail: eauden@sandia.gov; Vizkelethy, G.; Serkland, D.K.; Bossert, D.J.; Doyle, B.L.

    2017-05-15

    The Hecht equation can be used to model the nonlinear degradation of charge collection efficiency (CCE) in response to radiation-induced displacement damage in both fully and partially depleted GaAs photodiodes. CCE degradation is measured for laser-generated photocurrent as a function of fluence and bias in Al{sub 0.3}Ga{sub 0.7}As/GaAs/Al{sub 0.25}Ga{sub 0.75}As p-i-n photodiodes which have been irradiated with 12 MeV C and 7.5 MeV Si ions. CCE is observed to degrade more rapidly with fluence in partially depleted photodiodes than in fully depleted photodiodes. When the intrinsic GaAs layer is fully depleted, the 2-carrier Hecht equation describes CCE degradation as photogenerated electrons and holes recombine at defect sites created by radiation damage in the depletion region. If the GaAs layer is partially depleted, CCE degradation is more appropriately modeled as the sum of the 2-carrier Hecht equation applied to electrons and holes generated within the depletion region and the 1-carrier Hecht equation applied to minority carriers that diffuse from the field-free (non-depleted) region into the depletion region. Enhanced CCE degradation is attributed to holes that recombine within the field-free region of the partially depleted intrinsic GaAs layer before they can diffuse into the depletion region.

  5. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)], E-mail: Japie.Engelbrecht@nmmu.ac.za; Hashe, N.G. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Hillie, K.T. [CSIR-NML Laboratory, P.O. Box 395, Pretoria 0001 (South Africa); Claassens, C.H. [Physics Department, University of the Free State, Bloemfontein 9300 (South Africa)

    2007-12-15

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted.

  6. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Hashe, N.G.; Hillie, K.T.; Claassens, C.H.

    2007-01-01

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted

  7. 36 CFR 1010.8 - Actions that normally require an EIS.

    Science.gov (United States)

    2010-07-01

    ... impact on the environment, an EA is not required, and the Trust will prepare or direct the preparation of... or actions may significantly affect the environment and therefore require an EIS are described in 40...(b)(9); (2) Approval, funding, construction, and/or demolition in preparation for construction of any...

  8. Detection of oxygen-related defects in GaAs by exo-electron emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Hulluvarad, Shiva S.; Naddaf, M.; Bhoraskar, S.V. E-mail: svb@physics.unipune.ernet.in

    2001-10-01

    The influence of intentional introduction of oxygen, at the surface of GaAs, on its native surface states was studied. Oxygen was made to interact with the surface of GaAs by three different means: (1) by growing native oxides, (2) exposing to oxygen plasma in an electron cyclotron resonance (ECR) plasma reactor and by (3) high energy oxygen ion irradiation. Thermally stimulated exo-electron emission (TSEE) spectroscopy was used to estimate the relative densities and energies of the surface states induced by the three different modes of introducing oxygen. Out of the two native defect levels found in GaAs by TSEE; at 325 K (0.7 eV below E{sub c}) and at 415 K (0.9 below E{sub c}); the former is seen to get broadened or split into multiple peaks in each of the methods. Multiple peaks in TSEE signify the presence of a closely spaced band of defect levels. Therefore the results exclusively point out that oxygen-related complexes contribute to the formation of a band of defects centered at 325 K in TSEE which is correlated to an energy level 0.7 eV below E{sub c} known as the EL2 defect level. The results reported in this paper thus confirm that the TSEE peak at 0.7 eV below E{sub c} is related to oxygen induced defects whereas the peak at 0.9 eV is not affected by the presence of oxygen-related species.

  9. Pakistan vajab abi - kas maailma tõesti ei huvita? / Urmas Jaagant

    Index Scriptorium Estoniae

    Suurkask, Heiki, 1972-

    2010-01-01

    Pakistan saab igal aastal suurt rahvusvahelist abi. Mitmed riigid on üleujutustes Pakistani toetanud nüüdki kümnete miljonite dollaritega, kuid riikide tahe annetada on erinev, sest mitte iga abidollarit ei suunata Pakistanis sinna, kus seda tegelikult vajatakse

  10. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  12. A new high-efficiency GaAs solar cell structure using a heterostructure back-surface field

    Science.gov (United States)

    Gale, R. P.; Fan, J. C. C.; Turner, G. W.; Chapman, R. L.

    1984-01-01

    Shallow-homojunction GaAs solar cells are fabricated with a back-surface field (BSF) produced by a GaAs/Al(0.2)Ga(0.8)As heterostructure. These cells exhibit higher open-circuit voltages and conversion efficiencies than control cells made with a p-GaAs/p(+)-GaAs BSF. Conversion efficiencies of over 22 percent (AM1, total area) have been obtained with this new structure. The use of a higher bandgap material below the active region not only provides an enhanced BSF but will also permit the implementation of two solar-cell designs: a GaAs cell with a back-surface reflector and an AlGaAs cell that can be used as the upper cell in tandem configurations.

  13. GaAs Coupled Micro Resonators with Enhanced Sensitive Mass Detection

    Directory of Open Access Journals (Sweden)

    Tony Chopard

    2014-12-01

    Full Text Available This work demonstrates the improvement of mass detection sensitivity and time response using a simple sensor structure. Indeed, complicated technological processes leading to very brittle sensing structures are often required to reach high sensitivity when we want to detect specific molecules in biological fields. These developments constitute an obstacle to the early diagnosis of diseases. An alternative is the design of coupled structures. In this study, the device is based on the piezoelectric excitation and detection of two GaAs microstructures vibrating in antisymmetric modes. GaAs is a crystal which has the advantage to be micromachined easily using typical clean room processes. Moreover, we showed its high potential in direct biofunctionalisation for use in the biological field. A specific design of the device was performed to improve the detection at low mass and an original detection method has been developed. The principle is to exploit the variation in amplitude at the initial resonance frequency which has in the vicinity of weak added mass the greatest slope. Therefore, we get a very good resolution for an infinitely weak mass: relative voltage variation of 8%/1 fg. The analysis is based on results obtained by finite element simulation.

  14. Static and dynamical valence-charge-density properties of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1993-01-01

    Owing to the close neighbourhood of Ga and As in Mendeleev's table, GaAs shows two fundamental classes of X-ray structure amplitudes distinguished by their extremely different scattering power. They are differently sensitive to the valence electron density (VED) redistribution caused by the chemical bond and must be measured by different experimental methods. Using such data, both the VED and the difference electron densities (DED) are calculated here. Comparison with theoretical densities shows that the VED is characterized by covalent, ionic and metallic contributions. The DED constructed from GaAs and Ge data demonstrates the electronic response caused by a ''protonic'' charge transfer between both f.c.c. sublattices as well as the transition from a purely covalent to a mixed covalent-ionic bond. Especially the charge-density accumulation between nearest neighbours (bond charge (BC)) depends on the distance between the bonding atoms and changes under the influence of any lattice deformation. This phenomenon is described by a BC-transfer model. Its direct experimental proof is given by measuring the variation of the scattering power of weak reflections under the influence of an external electric field. This experiment demonstrates that the ionicity of the bond changes in addition to the BC variation. (orig.)

  15. Optical orientation of Mn{sup 2+} ions in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Langer, Lukas; Bayer, Manfred [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Akimov, Ilya A.; Yakovlev, Dmitri R. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); A.F. Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation); Dzhioev, Roslan I.; Korenev, Vladimir L.; Kusrayev, Yuri G.; Sapega, Victor F. [A.F. Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2011-07-01

    We report on optical orientation of Mn{sup 2+} ions in bulk GaAs under application of weak longitudinal magnetic fields (B {<=}150 mT). The studied samples were grown by liquid phase epitaxy and Czochralski method and were doped with a low Mn acceptor concentration of 8 x 10{sup 18} cm{sup -3}. Time resolved measurements of circular polarization for donor-acceptor photoluminescence in Faraday geometry reveal nontrivial spin dynamics of donor localized electrons. Initially the degree of polarization of the electron spins is 40%. It then decays within some tens of ns to reach a plateau. The plateau is absent at B=0 T and saturates at B=150 mT reaching the value of 35%. It's sign changes with the helicity of incident light. It follows that the s-d exchange interaction with optically oriented electrons induces a steady state non-equilibrium polarization of the Mn{sup 2+} ions. The latter maintain their spin and return part of the polarization back to the electron spin system, resulting in the plateau. This provides a long-lived electron spin memory in GaAs doped with Mn. The dynamical polarization of ionized Mn acceptors was also directly monitored using spin flip Raman scattering spectroscopy, in agreement with time-resolved data.

  16. Understanding the true shape of Au-catalyzed GaAs nanowires.

    Science.gov (United States)

    Jiang, Nian; Wong-Leung, Jennifer; Joyce, Hannah J; Gao, Qiang; Tan, Hark Hoe; Jagadish, Chennupati

    2014-10-08

    With increasing interest in nanowire-based devices, a thorough understanding of the nanowire shape is required to gain tight control of the quality of nanowire heterostructures and improve the performance of related devices. We present a systematic study of the sidewalls of Au-catalyzed GaAs nanowires by investigating the faceting process from the beginning with vapor-liquid-solid (VLS) nucleation, followed by the simultaneous radial growth on the sidewalls, and to the end with sidewall transformation during annealing. The VLS nucleation interface of our GaAs nanowires is revealed by examining cross sections of the nanowire, where the nanowire exhibits a Reuleaux triangular shape with three curved surfaces along {112}A. These curved surfaces are not thermodynamically stable and adopt {112}A facets during radial growth. We observe clear differences in radial growth rate between the ⟨112⟩A and ⟨112⟩B directions with {112}B facets forming due to the slower radial growth rate along ⟨112⟩B directions. These sidewalls transform to {110} facets after high temperature (>500 °C) annealing. A nucleation model is proposed to explain the origin of the Reuleaux triangular shape of the nanowires, and the sidewall evolution is explained by surface kinetic and thermodynamic limitations.

  17. 75 FR 16828 - Notice of Intent To Prepare and Scope an Environmental Impact Statement (EIS) for the Outer...

    Science.gov (United States)

    2010-04-02

    ... impacts to tourism and recreation activities, and ecological impacts from potential degradation of marine... Environmental Impact Statement (EIS) for the Outer Continental Shelf (OCS) Oil and Gas Leasing Program for 2012.... The EIS will analyze the potential impacts of the adoption of the proposed 5-year program. Background...

  18. Optical characterization of MOVPE grown δ-InAs layers in GaAs

    Czech Academy of Sciences Publication Activity Database

    Hazdra, P.; Voves, J.; Hulicius, Eduard; Pangrác, Jiří

    2005-01-01

    Roč. 2, č. 4 (2005), s. 1319-1324 ISSN 1610-1634 R&D Projects: GA AV ČR(CZ) IAA1010318; GA MŠk(CZ) LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : δ-layer * MOVPE * GaAs * photoluminescence * photocurrent * photoreflectance Subject RIV: BM - Solid Matter Physics ; Magnetism

  19. The influence of surfaces on the transient terahertz conductivity and electron mobility of GaAs nanowires

    International Nuclear Information System (INIS)

    Joyce, Hannah J; Baig, Sarwat A; Parkinson, Patrick; Davies, Christopher L; Boland, Jessica L; Herz, Laura M; Johnston, Michael B; Tan, H Hoe; Jagadish, Chennupati

    2017-01-01

    Bare unpassivated GaAs nanowires feature relatively high electron mobilities (400–2100 cm 2 V −1 s −1 ) and ultrashort charge carrier lifetimes (1–5 ps) at room temperature. These two properties are highly desirable for high speed optoelectronic devices, including photoreceivers, modulators and switches operating at microwave and terahertz frequencies. When engineering these GaAs nanowire-based devices, it is important to have a quantitative understanding of how the charge carrier mobility and lifetime can be tuned. Here we use optical-pump–terahertz-probe spectroscopy to quantify how mobility and lifetime depend on the nanowire surfaces and on carrier density in unpassivated GaAs nanowires. We also present two alternative frameworks for the analysis of nanowire photoconductivity: one based on plasmon resonance and the other based on Maxwell–Garnett effective medium theory with the nanowires modelled as prolate ellipsoids. We find the electron mobility decreases significantly with decreasing nanowire diameter, as charge carriers experience increased scattering at nanowire surfaces. Reducing the diameter from 50 nm to 30 nm degrades the electron mobility by up to 47%. Photoconductivity dynamics were dominated by trapping at saturable states existing at the nanowire surface, and the trapping rate was highest for the nanowires of narrowest diameter. The maximum surface recombination velocity, which occurs in the limit of all traps being empty, was calculated as 1.3  ×  10 6 cm s −1 . We note that when selecting the optimum nanowire diameter for an ultrafast device, there is a trade-off between achieving a short lifetime and a high carrier mobility. To achieve high speed GaAs nanowire devices featuring the highest charge carrier mobilities and shortest lifetimes, we recommend operating the devices at low charge carrier densities. (paper)

  20. Surface passivation of liquid phase epitaxial GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Mo, L.; Edmondson, M.

    1995-10-01

    Passivation of the liquid phase epitaxial GaAs surface was attempted using aqueous P 2 S 5 -NH 4 OH, (NH 4 ) 2 S x and plasma nitrogenation and hydrogenation. Results indicate that plasma nitrogenation with pretreatment of plasma hydrogenation produced consistent reduction in reverse leakage current at room temperature for all p and n type Schottky diodes. Some diodes showed an order of magnitude improvement in current density. (NH 4 ) 2 S x passivation also results in improved I-V characteristics, though the long term stability of this passivation is questionable. 26 refs., 6 figs