WorldWideScience

Sample records for varying mask open

  1. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  2. SU-E-T-65: A Prospective Trial of Open Face Masks for Head and Neck Radiotherapy

    International Nuclear Information System (INIS)

    Wiant, D; Squire, S; Maurer, J; Liu, H; Hayes, L; Sintay, B

    2015-01-01

    Purpose: Open face head and neck masks allow for active patient monitoring during treatment and may reduced claustrophobia and anxiety compared to closed masks. The ability of open masks to limit intrafraction motion and to preserve the patient shape/position from simulation over protracted treatments should be considered. Methods: Thirty-two head and neck patients were prospectively randomized to treatment in a closed mask or a novel open face mask. All patients received daily volumetric imaging. The daily images were automatically rigidly registered to the planning CT’s offline using a commercial image processing tool. The shifts needed to optimize the registration, the mutual information coefficient (MI), and the Pearson correlation (PC) coefficients were recorded to evaluate shape preservation. The open group was set-up and monitored with surface imaging at treatment. The real time surface imaging information was recorded to evaluate intrafraction motion. Results: Sixteen patients were included in each group. Evaluations were made over a total of 984 fractions. The mean MI and PC showed significantly higher shape preservation for the open group than for the closed group (p = 0). The mean rotations for the open group were smaller or < 0.15° larger versus the closed group. The mean intrafraction motion for the open group was 0.93 +/−0.99 mm (2 SD). The maximum single fraction displacement was 3.2 mm. Fourteen of 16 patients showed no significant correlation of motion with fraction number (p > 0.05). Conclusion: The open masks preserved shape as well as the closed masks, and they limited motion to < 2 mm for 95% of the treated fractions. These results are consistent over treatment courses of up to 35 fractions. The open mask is suitable for treatment with or without active monitoring. This work was partially supported by Qfix

  3. SU-E-T-65: A Prospective Trial of Open Face Masks for Head and Neck Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Wiant, D; Squire, S; Maurer, J; Liu, H; Hayes, L; Sintay, B [Cone Health Cancer Center, Greensboro, NC (United States)

    2015-06-15

    Purpose: Open face head and neck masks allow for active patient monitoring during treatment and may reduced claustrophobia and anxiety compared to closed masks. The ability of open masks to limit intrafraction motion and to preserve the patient shape/position from simulation over protracted treatments should be considered. Methods: Thirty-two head and neck patients were prospectively randomized to treatment in a closed mask or a novel open face mask. All patients received daily volumetric imaging. The daily images were automatically rigidly registered to the planning CT’s offline using a commercial image processing tool. The shifts needed to optimize the registration, the mutual information coefficient (MI), and the Pearson correlation (PC) coefficients were recorded to evaluate shape preservation. The open group was set-up and monitored with surface imaging at treatment. The real time surface imaging information was recorded to evaluate intrafraction motion. Results: Sixteen patients were included in each group. Evaluations were made over a total of 984 fractions. The mean MI and PC showed significantly higher shape preservation for the open group than for the closed group (p = 0). The mean rotations for the open group were smaller or < 0.15° larger versus the closed group. The mean intrafraction motion for the open group was 0.93 +/−0.99 mm (2 SD). The maximum single fraction displacement was 3.2 mm. Fourteen of 16 patients showed no significant correlation of motion with fraction number (p > 0.05). Conclusion: The open masks preserved shape as well as the closed masks, and they limited motion to < 2 mm for 95% of the treated fractions. These results are consistent over treatment courses of up to 35 fractions. The open mask is suitable for treatment with or without active monitoring. This work was partially supported by Qfix.

  4. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    Science.gov (United States)

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  5. Masking release by combined spatial and masker-fluctuation effects in the open sound field.

    Science.gov (United States)

    Middlebrooks, John C

    2017-12-01

    In a complex auditory scene, signals of interest can be distinguished from masking sounds by differences in source location [spatial release from masking (SRM)] and by differences between masker-alone and masker-plus-signal envelopes. This study investigated interactions between those factors in release of masking of 700-Hz tones in an open sound field. Signal and masker sources were colocated in front of the listener, or the signal source was shifted 90° to the side. In Experiment 1, the masker contained a 25-Hz-wide on-signal band plus flanking bands having envelopes that were either mutually uncorrelated or were comodulated. Comodulation masking release (CMR) was largely independent of signal location at a higher masker sound level, but at a lower level CMR was reduced for the lateral signal location. In Experiment 2, a brief signal was positioned at the envelope maximum (peak) or minimum (dip) of a 50-Hz-wide on-signal masker. Masking was released in dip more than in peak conditions only for the 90° signal. Overall, open-field SRM was greater in magnitude than binaural masking release reported in comparable closed-field studies, and envelope-related release was somewhat weaker. Mutual enhancement of masking release by spatial and envelope-related effects tended to increase with increasing masker level.

  6. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  7. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  8. Optimal degree of mouth opening for laryngeal mask airway function during oral surgery.

    Science.gov (United States)

    Sanuki, Takuro; Sugioka, Shingo; Hirokane, Motoko; Son, Hiroki; Uda, Rumiko; Akatsuka, Masafumi; Kotani, Junichiro

    2011-04-01

    This study was performed to determine the optimal degree of mouth opening in anesthetized patients requiring laryngeal mask airway (LMA) during oral surgery. A single, experienced LMA user inserted the LMA in 15 patients who were scheduled for elective oral surgery. Oropharyngeal leak pressure, intracuff pressure, and fiberoptic assessment of the LMA position were sequentially documented in 5 mouth conditions-opening of 1.4 (neutral position), 2, 3, 4, and 5 cm-and any resulting ventilatory difficulties were recorded. Oropharyngeal leak pressure with the mouth open 4 cm (21.8 ± 3.2 cm H(2)O, P = .025) and 5 cm (27.3 ± 7.2 cm H(2)O, P Oral and Maxillofacial Surgeons. Published by Elsevier Inc. All rights reserved.

  9. A 30 m Resolution Surface Water Mask Including Estimation of Positional and Thematic Differences Using Landsat 8, SRTM and OpenStreetMap: A Case Study in the Murray-Darling Basin, Australia

    Directory of Open Access Journals (Sweden)

    Gennadii Donchyts

    2016-05-01

    Full Text Available Accurate maps of surface water are essential for many environmental applications. Surface water maps can be generated by combining measurements from multiple sources. Precise estimation of surface water using satellite imagery remains a challenging task due to the sensor limitations, complex land cover, topography, and atmospheric conditions. As a complementary dataset, in the case of hilly landscapes, a drainage network can be extracted from high-resolution digital elevation models. Additionally, Volunteered Geographic Information (VGI initiatives, such as OpenStreetMap, can also be used to produce high-resolution surface water masks. In this study, we derive a high-resolution water mask using Landsat 8 imagery and OpenStreetMap as well as (potential a drainage network using 30 m SRTM. Our approach to derive a surface water mask from Landsat 8 imagery comprises the use of a lower 15% percentile of Landsat 8 Top of Atmosphere (TOA reflectance from 2013 to 2015. We introduce a new non-parametric unsupervised method based on the Canny edge filter and Otsu thresholding to detect water in flat areas. For hilly areas, the method is extended with an additional supervised classification step used to refine the water mask. We applied the method across the Murray-Darling basin, Australia. Differences between our new Landsat-based water mask and the OpenStreetMap water mask regarding positional differences along the rivers and overall coverage were analyzed. Our results show that about 50% of the OpenStreetMap linear water features can be confirmed using the water mask extracted from Landsat 8 imagery and the drainage network derived from SRTM. We also show that the observed distances between river features derived from OpenStreetMap and Landsat 8 are mostly smaller than 60 m. The differences between the new water mask and SRTM-based linear features and hilly areas are slightly larger (110 m. The overall agreement between OpenStreetMap and Landsat 8 water

  10. SU-E-T-71: A Radiochromic Film Based Quantitative Assessment of Thermoplastic Mask Bolus Effect in Head and Neck IMRT/VMAT

    Energy Technology Data Exchange (ETDEWEB)

    Kalavagunta, C; Lin, M; Snider, J; Xu, H; Schrum, A; Vadnais, P; Marter, K; Suntharalingam, M; Prado, K [University of Maryland School of Medicine, Baltimore, MD (United States)

    2015-06-15

    Purpose: To quantify the factors leading to thermoplastic mask bolus-associated-increased skin dose in head and neck IMRT/VMAT using EBT2 film. Methods: EBT2 film placed beneath a dual layer 3-point ORFIT head, neck and shoulder mask was used to test the effect of mask thickness, beam modulation, air gap, and beam obliquity on bolus effect. Mask thickness was varied based on the distribution of 1.6mm Orfilight layer on top of 2 mm Efficast layer. Beam modulation was varied by irradiating the film with an open field (no beam modulation) and a step and shoot field (beam modulation). Air gap between mask and film was varied from 0 to 5mm. Beam obliquity was varied by irradiating the film at gantry angles of 0°, 35°, and 70°.Finally, film strips placed on a Rando phantom under an Orfit mask, in regions of expected high dose, were irradiated using 5 IMRT and 5 VMAT plans with various modulation levels (modulation factor 2 to 5) and the results were compared with those obtained placing OSLDs at the same locations. Results: An 18–34% increase in mask bolus effect was observed for three factors where the effect of beam obliquity ≥ beam modulation > mask thickness. No increase in mask bolus effect was observed for change in air gap. A 6–13% increase in dose due to mask bolus effect was observed on film strips. Conclusion: This work underlines the role of beam obliquity and beam modulation combined with thermoplastic mask thickness in increasing mask bolus-associated skin dose in head and neck IMRT/VMAT. One possible method of dose reduction, based on knowledge gained from this work, is inclusion of skin as an avoidance structure in treatment planning. Another approach is to design a mask with the least amount of thermoplastic material necessary for immobilization.

  11. SU-E-T-71: A Radiochromic Film Based Quantitative Assessment of Thermoplastic Mask Bolus Effect in Head and Neck IMRT/VMAT

    International Nuclear Information System (INIS)

    Kalavagunta, C; Lin, M; Snider, J; Xu, H; Schrum, A; Vadnais, P; Marter, K; Suntharalingam, M; Prado, K

    2015-01-01

    Purpose: To quantify the factors leading to thermoplastic mask bolus-associated-increased skin dose in head and neck IMRT/VMAT using EBT2 film. Methods: EBT2 film placed beneath a dual layer 3-point ORFIT head, neck and shoulder mask was used to test the effect of mask thickness, beam modulation, air gap, and beam obliquity on bolus effect. Mask thickness was varied based on the distribution of 1.6mm Orfilight layer on top of 2 mm Efficast layer. Beam modulation was varied by irradiating the film with an open field (no beam modulation) and a step and shoot field (beam modulation). Air gap between mask and film was varied from 0 to 5mm. Beam obliquity was varied by irradiating the film at gantry angles of 0°, 35°, and 70°.Finally, film strips placed on a Rando phantom under an Orfit mask, in regions of expected high dose, were irradiated using 5 IMRT and 5 VMAT plans with various modulation levels (modulation factor 2 to 5) and the results were compared with those obtained placing OSLDs at the same locations. Results: An 18–34% increase in mask bolus effect was observed for three factors where the effect of beam obliquity ≥ beam modulation > mask thickness. No increase in mask bolus effect was observed for change in air gap. A 6–13% increase in dose due to mask bolus effect was observed on film strips. Conclusion: This work underlines the role of beam obliquity and beam modulation combined with thermoplastic mask thickness in increasing mask bolus-associated skin dose in head and neck IMRT/VMAT. One possible method of dose reduction, based on knowledge gained from this work, is inclusion of skin as an avoidance structure in treatment planning. Another approach is to design a mask with the least amount of thermoplastic material necessary for immobilization

  12. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  13. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  14. SU-F-J-18: Feasibility of Open Mask Immobilization with Optical Imaging Guidance (OIG) for H&N Radiotherapy

    International Nuclear Information System (INIS)

    Zhao, B; Maquilan, G; Anders, M; Jiang, S; Schwartz, D

    2016-01-01

    Purpose: Full face and neck thermoplastic masks provide standard-of-care immobilization for patients receiving H&N IMRT. However, these masks are uncomfortable and increase skin dose. The purpose of this pilot study was to investigate the feasibility and setup accuracy of open face and neck mask immobilization with OIG. Methods: Ten patients were consented and enrolled to this IRB-approved protocol. Patients were immobilized with open masks securing only forehead and chin. Standard IMRT to 60–70 Gy in 30 fractions were delivered in all cases. Patient simulation information, including isocenter location and CT skin contours, were imported to a commercial OIG system. On the first day of treatment, patients were initially set up to surface markings and then OIG referenced to face and neck skin regions of interest (ROI) localized on simulation CT images, followed by in-room CBCT. CBCTs were acquired at least weekly while planar OBI was acquired on the days without CBCT. Following 6D robotic couch correction with kV imaging, a new optical real-time surface image was acquired to track intrafraction motion and to serve as a reference surface for setup at the next treatment fraction. Therapists manually recorded total treatment time as well as couch shifts based on kV imaging. Intrafractional ROI motion tracking was automatically recorded. Results: Setup accuracy of OIG was compared with CBCT results. The setup error based on OIG was represented as a 6D shift (vertical/longitudinal/lateral/rotation/pitch/roll). Mean error values were −0.70±3.04mm, −0.69±2.77mm, 0.33±2.67 mm, −0.14±0.94 o, −0.15±1.10o and 0.12±0.82o, respectively for the cohort. Average treatment time was 24.1±9.2 minutes, comparable to standard immobilization. The amplitude of intrafractional ROI motion was 0.69±0.36 mm, driven primarily by respiratory neck motion. Conclusion: OGI can potentially provide accurate setup and treatment tracking for open face and neck immobilization. Study

  15. SU-F-J-18: Feasibility of Open Mask Immobilization with Optical Imaging Guidance (OIG) for H&N Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, B; Maquilan, G; Anders, M; Jiang, S; Schwartz, D [UT Southwestern Medical Center, Dallas, TX (United States)

    2016-06-15

    Purpose: Full face and neck thermoplastic masks provide standard-of-care immobilization for patients receiving H&N IMRT. However, these masks are uncomfortable and increase skin dose. The purpose of this pilot study was to investigate the feasibility and setup accuracy of open face and neck mask immobilization with OIG. Methods: Ten patients were consented and enrolled to this IRB-approved protocol. Patients were immobilized with open masks securing only forehead and chin. Standard IMRT to 60–70 Gy in 30 fractions were delivered in all cases. Patient simulation information, including isocenter location and CT skin contours, were imported to a commercial OIG system. On the first day of treatment, patients were initially set up to surface markings and then OIG referenced to face and neck skin regions of interest (ROI) localized on simulation CT images, followed by in-room CBCT. CBCTs were acquired at least weekly while planar OBI was acquired on the days without CBCT. Following 6D robotic couch correction with kV imaging, a new optical real-time surface image was acquired to track intrafraction motion and to serve as a reference surface for setup at the next treatment fraction. Therapists manually recorded total treatment time as well as couch shifts based on kV imaging. Intrafractional ROI motion tracking was automatically recorded. Results: Setup accuracy of OIG was compared with CBCT results. The setup error based on OIG was represented as a 6D shift (vertical/longitudinal/lateral/rotation/pitch/roll). Mean error values were −0.70±3.04mm, −0.69±2.77mm, 0.33±2.67 mm, −0.14±0.94 o, −0.15±1.10o and 0.12±0.82o, respectively for the cohort. Average treatment time was 24.1±9.2 minutes, comparable to standard immobilization. The amplitude of intrafractional ROI motion was 0.69±0.36 mm, driven primarily by respiratory neck motion. Conclusion: OGI can potentially provide accurate setup and treatment tracking for open face and neck immobilization. Study

  16. Fabrication of microfluidic mixers with varying topography in glass using the powder-blasting process

    International Nuclear Information System (INIS)

    Sayah, Abdeljalil; Thivolle, Pierre-Antoine; Parashar, Virendra K; Gijs, Martin A M

    2009-01-01

    The powder-blasting method is used to fabricate structures with a three-dimensional topography in glass using elastomeric masks. The relation between the mask opening width and the erosion depth is exploited to fabricate microstructures with varying depth in a single micropatterning step. As an application, planar three-dimensional micro-mixers were fabricated, which consist of a repeating convergent microfluidic nozzle structure. Three different designs of the micro-mixers were considered. The mixing of co-flowing laminar streams results from the generation of multiple vortices at the exit of the different convergent nozzles

  17. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  18. SU-D-BRA-02: Motion Assessment During Open Face Mask SRS Using CBCT and Surface Monitoring

    International Nuclear Information System (INIS)

    Williams, BB; Fox, CJ; Hartford, AC; Gladstone, DJ

    2016-01-01

    Purpose: To assess the robustness of immobilization using open-face mask technology for linac-based stereotactic radiosurgery (SRS) with multiple non-coplanar arcs via repeated CBCT acquisition, with comparison to contemporaneous optical surface tracking data. Methods: 25 patients were treated in open faced masks with cranial SRS using 3–4 non-coplanar arcs. Repeated CBCT imaging was performed to verify the maintenance of proper patient positioning during treatment. Initial patient positioning was performed based on prescribed shifts and optical surface tracking. Positioning refinements employed rigid 3D-matching of the planning CT and CBCT images and were implemented via automated 6DOF couch control. CBCT imaging was repeated following the treatment of all non-transverse beams with associated couch kicks. Detected patient translations and rotations were recorded and automatically corrected. Optical surface tracking was applied throughout the treatments to monitor motion, and this contemporaneous patient positioning data was recorded to compare against CBCT data and 6DOF couch adjustments. Results: Initial patient positions were refined on average by translations of 3±1mm and rotations of ±0.9-degrees. Optical surface tracking corroborated couch corrections to within 1±1mm and ±0.4-degrees. Following treatment of the transverse and subsequent superior-oblique beam, average translations of 0.6±0.4mm and rotations of ±0.4-degrees were reported via CBCT, with optical surface tracking in agreement to within 1.1±0.6mm and ±0.6-degrees. Following treatment of the third beam, CBCT indicated additional translations of 0.4±0.2mm and rotations of ±0.3-degrees. Cumulative couch corrections resulted in 0.7 ± 0.4mm average magnitude translations and rotations of ±0.4-degrees. Conclusion: Based on CBCT measurements of patients during SRS, the open face mask maintained patient positioning to within 1.5mm and 1-degree with >95% confidence. Patient positioning

  19. SU-D-BRA-02: Motion Assessment During Open Face Mask SRS Using CBCT and Surface Monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Williams, BB; Fox, CJ; Hartford, AC; Gladstone, DJ [Dartmouth-Hitchcock Medical Center, Lebanon, NH (Lebanon)

    2016-06-15

    Purpose: To assess the robustness of immobilization using open-face mask technology for linac-based stereotactic radiosurgery (SRS) with multiple non-coplanar arcs via repeated CBCT acquisition, with comparison to contemporaneous optical surface tracking data. Methods: 25 patients were treated in open faced masks with cranial SRS using 3–4 non-coplanar arcs. Repeated CBCT imaging was performed to verify the maintenance of proper patient positioning during treatment. Initial patient positioning was performed based on prescribed shifts and optical surface tracking. Positioning refinements employed rigid 3D-matching of the planning CT and CBCT images and were implemented via automated 6DOF couch control. CBCT imaging was repeated following the treatment of all non-transverse beams with associated couch kicks. Detected patient translations and rotations were recorded and automatically corrected. Optical surface tracking was applied throughout the treatments to monitor motion, and this contemporaneous patient positioning data was recorded to compare against CBCT data and 6DOF couch adjustments. Results: Initial patient positions were refined on average by translations of 3±1mm and rotations of ±0.9-degrees. Optical surface tracking corroborated couch corrections to within 1±1mm and ±0.4-degrees. Following treatment of the transverse and subsequent superior-oblique beam, average translations of 0.6±0.4mm and rotations of ±0.4-degrees were reported via CBCT, with optical surface tracking in agreement to within 1.1±0.6mm and ±0.6-degrees. Following treatment of the third beam, CBCT indicated additional translations of 0.4±0.2mm and rotations of ±0.3-degrees. Cumulative couch corrections resulted in 0.7 ± 0.4mm average magnitude translations and rotations of ±0.4-degrees. Conclusion: Based on CBCT measurements of patients during SRS, the open face mask maintained patient positioning to within 1.5mm and 1-degree with >95% confidence. Patient positioning

  20. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  1. Masked hypertension, a review of the literature.

    NARCIS (Netherlands)

    Verberk, W.J.; Thien, Th.; Leeuw, P.W. de

    2007-01-01

    Masked hypertension (blood pressure that is normal in the physicians' office but elevated elsewhere) is a common phenomenon as prevalence among studies varies from 8 to 45% and is seen at all ages. large discrepancies, however, exist between studies that have dealt with masked hypertension. It is of

  2. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials

    DEFF Research Database (Denmark)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L.

    2013-01-01

    at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result......The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound...... in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners...

  3. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  4. Computation of gradually varied flow in compound open channel ...

    Indian Academy of Sciences (India)

    The flow of water in an open channel can be treated as steady, gradually varied flow for ... channel between two nodes is treated as a single reach to calculate the loss ... dition at control points and (iii) critical depth is also required to verify the ...

  5. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  6. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  7. Orientation tuning of contrast masking caused by motion streaks.

    Science.gov (United States)

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  8. Mask design and fabrication in coded aperture imaging

    International Nuclear Information System (INIS)

    Shutler, Paul M.E.; Springham, Stuart V.; Talebitaher, Alireza

    2013-01-01

    We introduce the new concept of a row-spaced mask, where a number of blank rows are interposed between every pair of adjacent rows of holes of a conventional cyclic difference set based coded mask. At the cost of a small loss in signal-to-noise ratio, this can substantially reduce the number of holes required to image extended sources, at the same time increasing mask strength uniformly across the aperture, as well as making the mask automatically self-supporting. We also show that the Finger and Prince construction can be used to wrap any cyclic difference set onto a two-dimensional mask, regardless of the number of its pixels. We use this construction to validate by means of numerical simulations not only the performance of row-spaced masks, but also the pixel padding technique introduced by in ’t Zand. Finally, we provide a computer program CDSGEN.EXE which, on a fast modern computer and for any Singer set of practical size and open fraction, generates the corresponding pattern of holes in seconds

  9. Comparison of Aerosol Delivery by Face Mask and Tracheostomy Collar.

    Science.gov (United States)

    Bugis, Alaa A; Sheard, Meryl M; Fink, James B; Harwood, Robert J; Ari, Arzu

    2015-09-01

    The purpose of this study was to compare the performance of a tracheostomy collar, Wright mask, and aerosol mask attached to a jet nebulizer in facilitating aerosolized medication delivery to the lungs. We also compared albuterol delivery with open versus closed fenestration and determined the effect of inspiratory-expiratory ratio (I:E) on aerosol delivery. Albuterol (2.5 mg/3 mL) was administered to an in vitro model consisting of an adult teaching mannequin extrathoracic and upper airway with stoma intubated with an 8-mm fenestrated tracheostomy tube. The cuff was deflated. A collecting filter at the level of the bronchi was connected to a breathing simulator at a tidal volume of 400 mL, breathing frequency of 20 breaths/min, and I:E of 2:1 and 1:2. A jet nebulizer was operated with O2 at 8 L/min. Each interface was tested in triplicate. The flow was discontinued at the end of nebulization. For each test, the nebulizer was attached to a tracheostomy collar with the fenestration open or closed, a Wright mask, or an aerosol mask. Drug was analyzed by spectrophotometry (276 nm). A paired t test and analysis of variance were performed (P mask (4.1 ± 0.6%) and aerosol mask (3.5 ± 0.04%) were both less than with the tracheostomy collar under either condition (P mask (7.2 ± 0.6%), and aerosol mask (6.1 ± 0.5%). In an adult tracheostomy model, the tracheostomy collar delivered more aerosol to the bronchi than the Wright or aerosol mask. An I:E of 2:1 caused greater aerosol deposition compared with an I:E of 1:2. During aerosol administration via a tracheostomy collar, closing the fenestration improved aerosol delivery. Copyright © 2015 by Daedalus Enterprises.

  10. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  11. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  12. Effects of temporal integration on the shape of visual backward masking functions.

    Science.gov (United States)

    Francis, Gregory; Cho, Yang Seok

    2008-10-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be monotonic increasing but other times is U-shaped. Theories of backward masking have long hypothesized that temporal integration of the target and mask influences properties of masking but have not connected the influence of integration with the shape of the masking function. With two experiments that vary the spatial properties of the target and mask, the authors provide evidence that temporal integration of the stimuli plays a critical role in determining the shape of the masking function. The resulting data both challenge current theories of backward masking and indicate what changes to the theories are needed to account for the new data. The authors further discuss the implication of the findings for uses of backward masking to explore other aspects of cognition.

  13. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    Science.gov (United States)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  14. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  15. Unmasking the effects of masking on performance: The potential of multiple-voice masking in the office environment.

    Science.gov (United States)

    Keus van de Poll, Marijke; Carlsson, Johannes; Marsh, John E; Ljung, Robert; Odelius, Johan; Schlittmeier, Sabine J; Sundin, Gunilla; Sörqvist, Patrik

    2015-08-01

    Broadband noise is often used as a masking sound to combat the negative consequences of background speech on performance in open-plan offices. As office workers generally dislike broadband noise, it is important to find alternatives that are more appreciated while being at least not less effective. The purpose of experiment 1 was to compare broadband noise with two alternatives-multiple voices and water waves-in the context of a serial short-term memory task. A single voice impaired memory in comparison with silence, but when the single voice was masked with multiple voices, performance was on level with silence. Experiment 2 explored the benefits of multiple-voice masking in more detail (by comparing one voice, three voices, five voices, and seven voices) in the context of word processed writing (arguably a more office-relevant task). Performance (i.e., writing fluency) increased linearly from worst performance in the one-voice condition to best performance in the seven-voice condition. Psychological mechanisms underpinning these effects are discussed.

  16. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  17. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  18. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    Science.gov (United States)

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustained hypertension (elevated clinic and ambulatory BP). The confluence of increased cardiovascular risk and a failure to be diagnosed by the conventional approach of clinic BP measurement makes masked hypertension a significant public health concern. However, many important questions remain. First, the definition of masked hypertension varies across studies. Further, the best approach in the clinical setting to exclude masked hypertension also remains unknown. It is unclear whether home BP monitoring is an adequate substitute for ambulatory BP monitoring in identifying masked hypertension. Few studies have examined the mechanistic pathways that may explain masked hypertension. Finally, scarce data are available on the best approach to treating individuals with masked hypertension. Herein, we review the current literature on masked hypertension including definition, prevalence, clinical implications, special patient populations, correlates, issues related to diagnosis, treatment, and areas for future research. PMID:24573133

  19. AlGaInAs narrow stripe selective growth on substrates patterned with different mask designs

    International Nuclear Information System (INIS)

    Feng, W; Pan, J Q; Yang, H; Hou, L P; Zhou, F; Zhao, L J; Zhu, H L; Wang, W

    2006-01-01

    We have performed a narrow stripe selective growth of oxide-free AlGaInAs waveguides on InP substrates patterned with pairs of SiO 2 mask stripes under optimized growth conditions. The mask stripe width varied from 0 to 40 μm, while the window region width between a pair of mask stripes was fixed at 1.5, 2.5 or 3.5 μm. Flat and smooth AlGaInAs waveguides covered by specific InP layers are successfully grown on substrates patterned with different mask designs. The thickness enhancement ratio and the photoluminescence (PL) spectrum of the AlGaInAs narrow stripe waveguides are strongly dependent on the mask stripe width and the window region width. In particular, a large PL wavelength shift of 79 nm and a PL FWHM of less than 64 meV are obtained simultaneously with a small mask stripe width varying from 0 to 40 μm when the window region width is 1.5 μm. We present some possible interpretations of the experimental observations in considering both the migration effect from a masked region and the lateral vapour diffusion effect

  20. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  1. Long-range tactile masking occurs in the postural body schema.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-01

    Long-range tactile masking has been reported between mirror symmetric body locations. This suggests a general principle of contralateral inhibition between corresponding points on each side of the body that may serve to enhance distinguishing touches on the two halves of the body. Do such effects occur before or after posture is added to the body schema? Here, we address this question by exploring the effect of arm position on long-range tactile masking. The influence of arm position was investigated using different positions of both the test and masking arms. Tactile sensitivity was measured on one forearm, while vibrotactile-masking stimulation was applied to the opposite arm or to a control site on the shoulder. No difference was found in sensitivity when test arm position was varied. Physical contact between the arms significantly increased the effectiveness of a masking stimulus applied to the other arm. Long-range masking between the arms was strongest when the arms were held parallel to each other and was abolished if the position of either the test arm or the masking arm was moved from this position. Modulation of the effectiveness of masking by the position of both the test and masking arms suggests that these effects occur after posture information is added to the body's representation in the brain.

  2. Evaluation of the protection factor of half-masks with respirator fitting test apparatus

    International Nuclear Information System (INIS)

    Murata, M.; Ikezawa, Y.; Yoshida, Y.; Matsui, H.; Kokubu, M.

    1980-01-01

    A respirator fitting test apparatus has been developed to select half-masks of high performance and to estimate their protective ability. With the apparatus, the facepiece leakage was measured for six different half-masks worn by 40 volunteer subjects, under simulated working conditions of normal breathing, smiling, moving head, and talking. A cloud of submicron sodium chloride particles was fed into a test hood in which the subject wore a mask. The air sampled from inside the mask with a miniature pump was assessed by a flame photometer. It is hown that the protection factor (ratio of the concentration of test cloud outside the mask to that inside) for each mask varies widely with the subject and the working conditions, and that the protection factors roughly fit a lognormal distribution. The values characterizing the distributions of respective masks are given. (H.K.)

  3. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    Science.gov (United States)

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  4. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    Energy Technology Data Exchange (ETDEWEB)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H. [Universitaetsklinik Bonn, Radiologische Klinik, FE Strahlentherapie, Bonn (Germany)

    2014-04-15

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal {sup registered} or Open Face {sup registered} mask, Orfit) or the standard three-point mask (Raycast {sup registered} -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  5. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    International Nuclear Information System (INIS)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H.

    2014-01-01

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal registered or Open Face registered mask, Orfit) or the standard three-point mask (Raycast registered -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  6. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  7. Differential effect of visual masking in perceptual categorization.

    Science.gov (United States)

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  8. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  9. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  10. Psychophysical estimates of cochlear phase response: masking by harmonic complexes.

    Science.gov (United States)

    Lentz, J J; Leek, M R

    2001-12-01

    Harmonic complexes with identical component frequencies and amplitudes but different phase spectra may be differentially effective as maskers. Such harmonic waveforms, constructed with positive or negative Schroeder phases, have similar envelopes and identical long-term power spectra, but the positive Schroeder-phase waveform is typically a less effective masker than the negative Schroeder-phase waveform. These masking differences have been attributed to an interaction between the masker phase spectrum and the phase characteristic of the basilar membrane. To explore this relationship, the gradient of stimulus phase change across masker bandwidth was varied by systematically altering the Schroeder-phase algorithm. Observers detected a signal tone added in-phase to a single component of a masker whose frequencies ranged from 200 to 5000 Hz, with a fundamental frequency of 100 Hz. For signal frequencies of 1000-4000 Hz, differences in masking across the harmonic complexes could be as large as 5-10 dB for phase gradients changing by only 10%. The phase gradient that resulted in a minimum amount of masking varied with signal frequency, with low frequencies masked least effectively by stimuli with rapidly changing component phases and high frequencies masked by stimuli with more shallow phase gradients. A gammachirp filter was implemented to model these results, predicting the qualitative changes in curvature of the phase-byfrequency function estimated from the empirical data: In some cases, small modifications to the gammachirp filter produced better quantitative predictions of curvature changes across frequency, but this filter, as implemented here, was unable to accurately represent all the data.

  11. Failed tracheal intubation using a laryngoscope and intubating laryngeal mask.

    Science.gov (United States)

    Asai, T; Hirose, T; Shingu, K

    2000-04-01

    To report unexpected failed tracheal intubation using a laryngoscope and an intubating laryngeal mask, and difficult ventilation via a facemask, laryngeal mask and intubating laryngeal mask, in a patient with an unrecognized lingual tonsillar hypertrophy. A 63-yr-old woman, who had undergone clipping of an aneurysm seven weeks previously, was scheduled for ventriculo-peritoneal shunt. At the previous surgery, there had been no difficulty in ventilation or in tracheal intubation. Her trachea remained intubated nasally for 11 days after surgery. Preoperatively, her consciousness was impaired. There were no restrictions in head and neck movements or mouth opening. The thyromental distance was 7 cm. After induction of anesthesia, manual ventilation via a facemask with a Guedel airway was suboptimal and the chest expanded insufficiently. At laryngoscopy using a Macintosh or McCoy device, only the tip of the epiglottis, but not the glottis, could be seen, and tracheal intubation failed. There was a partial obstruction during manual ventilation through either the intubating laryngeal mask or conventional laryngeal mask; intubation through each device failed. Digital examination of the pharynx, after removal of the laryngeal mask, indicated a mass occupying the vallecula. Lingual tonsillar hypertrophy (1 x 1 x 2 cm) was found to be the cause of the failure. Awake fibrescope-aided tracheal intubation was accomplished. Unexpected lingual tonsillar hypertrophy can cause both ventilation and tracheal intubation difficult, and neither the laryngeal mask nor intubating laryngeal mask may be helpful in the circumstances.

  12. Masking responses to light in period mutant mice.

    Science.gov (United States)

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  13. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  14. Systematic measurements of the gain and the energy resolution of single and double mask GEM detectors

    International Nuclear Information System (INIS)

    Biswas, S.; Schmidt, D.J.; Abuhoza, A.; Frankenfeld, U.; Garabatos, C.; Hehner, J.; Kleipa, V.; Morhardt, T.; Schmidt, C.J.; Schmidt, H.R.; Wiechula, J.

    2016-01-01

    Systematic studies on the gain and the energy resolution have been carried out by varying the voltage across the GEM foils for both single mask and double mask triple GEM detector prototypes. Variation of the gain and the energy resolution has also been measured by varying either the drift voltage, transfer voltage and induction voltage keeping other voltages constant. The results of the systematic measurements have been presented.

  15. New refresher training in the use of self-rescue masks

    CERN Multimedia

    HSE Unit

    2012-01-01

    Photos of the training session for self-rescue mask instructors held on 21 March 2012 – 10 new instructors followed the coursePhotos of the training session for self-rescue mask instructors held on 21 March 2012 – 10 new instructors followed the course   A refresher course in the use of self-rescue masks has been added to the CERN training catalogue. Sign up! More than 3500 people have followed the course since it was introduced in 2009. Taking account of the forthcoming long shutdown, requests for follow-up training from course participants and recent changes in the course content, the HSE Unit has decided to place a three-year limit on the validity of the initial training and to introduce a refresher course. The new refresher course is open to all personnel having completed the initial course at least 2 years ago. The course, "Recyclage Formation masque auto-sauveteur » / "Refresher course Self-Rescue Mask Training", (code No. 077...

  16. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  17. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  18. [Thermoplastic mask in radiotherapy: a source of anxiety for the patient?].

    Science.gov (United States)

    Arino, C; Stadelmaier, N; Dupin, C; Kantor, G; Henriques de Figueiredo, B

    2014-12-01

    The thermoplastic mask often used to immobilize patients in radiotherapy can cause varying levels of stress and anxiety. This study aimed at evaluating the anxiety related to the use of radiotherapy masks and the coping strategies adopted by patients. Nineteen patients treated with radiotherapy mask for head and neck cancer, a brain tumour or a lymphoma, were met twice by a psychologist, either after the making of the mask and the first course of radiotherapy, or in the middle and at the end of treatment. Thirty-four semi-structured interviews were treated using a thematic content analysis and 13 patients answered to anxiety (STAI-YB) and coping (WCC) scales. The STAI-YB anxiety scores related to wearing the masks were low during the radiotherapy treatment period, and were confirmed by the remarks of patients recorded during the semi-structured interviews. Most patients had a positive perception of the mask, and considered it as a friend or protection. Twelve out of the 13 patients admitting to anxiety benefited from problem focused coping strategies. Thermoplastic mask-related anxiety is low and possibly lies in the positive representation patients have about the mask. The explanations provided by health professionals on the radiotherapy mask possibly have a very positive effect on this perception. Copyright © 2014 Société française de radiothérapie oncologique (SFRO). Published by Elsevier SAS. All rights reserved.

  19. Masked priming effect reflects evidence accumulated by the prime.

    Science.gov (United States)

    Kinoshita, Sachiko; Norris, Dennis

    2010-01-01

    In the same-different match task, masked priming is observed with the same responses but not different responses. Norris and Kinoshita's (2008) Bayesian reader account of masked priming explains this pattern based on the same principle as that explaining the absence of priming for nonwords in the lexical decision task. The pattern of priming follows from the way the model makes optimal decisions in the two tasks; priming does not depend on first activating the prime and then the target. An alternative explanation is in terms of a bias towards responding "same" that exactly counters the facilitatory effect of lexical access. The present study tested these two views by varying both the degree to which the prime predicts the response and the visibility of the prime. Unmasked primes produced effects expected from the view that priming is influenced by the degree to which the prime predicts the response. In contrast, with masked primes, the size of priming for the same response was completely unaffected by predictability. These results rule out response bias as an explanation of the absence of masked priming for different responses and, in turn, indicate that masked priming is not a consequence of automatic lexical access of the prime.

  20. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  1. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  2. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  3. Masking Responses to Light in Period Mutant Mice

    Science.gov (United States)

    Pendergast, Julie S.; Yamazaki, Shin

    2013-01-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1−/− and Per2−/− mice had robust negative masking responses to light. In addition, the locomotor activity of Per1−/−/Per2−/− mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1−/−/Per2−/− mice. Furthermore, Per1−/−/Per2−/− mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1−/−/Per2−/− SCN may be a light-driven, weak/damping oscillator. PMID:21793695

  4. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  5. Bitter taste masking of enzyme-treated soy protein in water and bread.

    Science.gov (United States)

    Bertelsen, Anne S; Laursen, Anne; Knudsen, Tine A; Møller, Stine; Kidmose, Ulla

    2018-08-01

    Bioactive protein hydrolysates are often very bitter. To overcome this challenge, xylitol, sucrose, α-cyclodextrin, maltodextrin and combinations of these were tested systematically as bitter-masking agents of an enzyme-treated soy protein in an aqueous model and in a bread model. Sensory descriptive analysis was used to reveal the bitter-masking effect of the taste-masking blends on the enzyme-treated soy protein. In water, xylitol, sucrose and maltodextrin reduced bitterness significantly, whereas α-cyclodextrin did not. No significant difference was observed in bitterness reduction between xylitol and sucrose. Both reduced bitterness significantly more than maltodextrin. No interactions between the taste-masking agents affecting bitterness reduction were found. Clearer bitter-masking effects were seen in the aqueous model compared with the bread model. The bitter-masking effects of α-cyclodextrin and maltodextrin were similar between water and bread. The effect of xylitol and sucrose on bitterness suppression varied between the systems. In water, bitterness was negatively correlated with sweetness. In bread, bitterness was negatively correlated with freshness, and maltodextrin significantly reduced bitterness of the enzyme-treated soy protein and increased freshness. Bitter-masking effects were generally more discernible in the aqueous model compared with the bread model. © 2018 Society of Chemical Industry. © 2018 Society of Chemical Industry.

  6. Culture, gender and health care stigma: Practitioners' response to facial masking experienced by people with Parkinson's disease.

    Science.gov (United States)

    Tickle-Degnen, Linda; Zebrowitz, Leslie A; Ma, Hui-ing

    2011-07-01

    Facial masking in Parkinson's disease is the reduction of automatic and controlled expressive movement of facial musculature, creating an appearance of apathy, social disengagement or compromised cognitive status. Research in western cultures demonstrates that practitioners form negatively biased impressions associated with patient masking. Socio-cultural norms about facial expressivity vary according to culture and gender, yet little research has studied the effect of these factors on practitioners' responses toward patients who vary in facial expressivity. This study evaluated the effect of masking, culture and gender on practitioners' impressions of patient psychological attributes. Practitioners (N = 284) in the United States and Taiwan judged 12 Caucasian American and 12 Asian Taiwanese women and men patients in video clips from interviews. Half of each patient group had a moderate degree of facial masking and the other half had near-normal expressivity. Practitioners in both countries judged patients with higher masking to be more depressed and less sociable, less socially supportive, and less cognitively competent than patients with lower masking. Practitioners were more biased by masking when judging the sociability of the American patients, and American practitioners' judgments of patient sociability were more negatively biased in response to masking than were those of Taiwanese practitioners. Practitioners were more biased by masking when judging the cognitive competence and social supportiveness of the Taiwanese patients, and Taiwanese practitioners' judgments of patient cognitive competence were more negatively biased in response to masking than were those of American practitioners. The negative response to higher masking was stronger in practitioner judgments of women than men patients, particularly American patients. The findings suggest local cultural values as well as ethnic and gender stereotypes operate on practitioners' use of facial

  7. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  8. Design of aerosol face masks for children using computerized 3D face analysis.

    Science.gov (United States)

    Amirav, Israel; Luder, Anthony S; Halamish, Asaf; Raviv, Dan; Kimmel, Ron; Waisman, Dan; Newhouse, Michael T

    2014-08-01

    Aerosol masks were originally developed for adults and downsized for children. Overall fit to minimize dead space and a tight seal are problematic, because children's faces undergo rapid and marked topographic and internal anthropometric changes in their first few months/years of life. Facial three-dimensional (3D) anthropometric data were used to design an optimized pediatric mask. Children's faces (n=271, aged 1 month to 4 years) were scanned with 3D technology. Data for the distance from the bridge of the nose to the tip of the chin (H) and the width of the mouth opening (W) were used to categorize the scans into "small," "medium," and "large" "clusters." "Average" masks were developed from each cluster to provide an optimal seal with minimal dead space. The resulting computerized contour, W and H, were used to develop the SootherMask® that enables children, "suckling" on their own pacifier, to keep the mask on their face, mainly by means of subatmospheric pressure. The relatively wide and flexible rim of the mask accommodates variations in facial size within and between clusters. Unique pediatric face masks were developed based on anthropometric data obtained through computerized 3D face analysis. These masks follow facial contours and gently seal to the child's face, and thus may minimize aerosol leakage and dead space.

  9. Clay facial masks: physicochemical stability at different storage temperatures.

    Science.gov (United States)

    Zague, Vivian; de Almeida Silva, Diego; Baby, André Rolim; Kaneko, Telma Mary; Velasco, Maria Valéria Robles

    2007-01-01

    Clay facial masks--formulations that contain a high percentage of solids dispersed in a liquid vehicle--have become of special interest due to specific properties presented by clays, such as particle size, cooling index, high adsorption capacity, and plasticity. Although most of the physicochemical properties of clay dispersions have been studied, specific aspects concerning the physicochemical stability of clay mask products remain unclear. This work aimed at investigating the accelerated physicochemical stability of clay mask formulations stored at different temperatures. Formulations were subjected to centrifuge testing and to thermal treatment for 15 days, during which temperature was varied from -5.0 degrees to 45.0 degrees C. The apparent viscosity and visual aspect (homogeneity) of all formulations were affected by temperature variation, whereas color, odor, and pH value remained unaltered. These results, besides the estimation of physicochemical stability under aging, can be useful in determining the best storage conditions for clay-based formulations.

  10. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  11. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  12. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  13. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  14. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  15. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  16. Culture, Gender and Health Care Stigma: Practitioners’ Response to Facial Masking Experienced by People with Parkinson’s Disease

    Science.gov (United States)

    Tickle-Degnen, Linda; Zebrowitz, Leslie A.; Ma, Hui-ing

    2011-01-01

    Facial masking in Parkinson’s disease is the reduction of automatic and controlled expressive movement of facial musculature, creating an appearance of apathy, social disengagement or compromised cognitive status. Research in western cultures demonstrates that practitioners form negatively biased impressions associated with patient masking. Socio-cultural norms about facial expressivity vary according to culture and gender, yet little research has studied the effect of these factors on practitioners’ responses toward patients who vary in facial expressivity. This study evaluated the effect of masking, culture and gender on practitioners’ impressions of patient psychological attributes. Practitioners (N=284) in the United States and Taiwan judged 12 Caucasian American and 12 Asian Taiwanese women and men patients in video clips from interviews. Half of each patient group had a moderate degree of facial masking and the other half had near-normal expressivity. Practitioners in both countries judged patients with higher masking to be more depressed and less sociable, less socially supportive, and less cognitively competent than patients with lower masking. Practitioners were more biased by masking when judging the sociability of the American patients, and American practitioners’ judgments of patient sociability were more negatively biased in response to masking than were those of Taiwanese practitioners. Practitioners were more biased by masking when judging the cognitive competence and social supportiveness of the Taiwanese patients, and Taiwanese practitioners’ judgments of patient cognitive competence were more negatively biased in response to masking than were those of American practitioners. The negative response to higher masking was stronger in practitioner judgments of women than men patients, particularly American patients. The findings suggest local cultural values as well as ethnic and gender stereotypes operate on practitioners’ use of facial

  17. Are personality traits associated with white-coat and masked hypertension?

    Science.gov (United States)

    Terracciano, Antonio; Scuteri, Angelo; Strait, James; Sutin, Angelina R; Meirelles, Osorio; Marongiu, Michele; Orru, Marco; Pilia, Maria Grazia; Ferrucci, Luigi; Cucca, Francesco; Schlessinger, David; Lakatta, Edward

    2014-10-01

    Anxiety and other psychological dispositions are thought to be associated with blood pressure. This study tests whether personality traits have long-term associations with masked and white-coat effects. A community-based sample of 2838 adults from Sardinia (Italy) completed the Revised NEO Personality Inventory, and 7 years later, blood pressure was assessed in the clinic and with ambulatory monitoring. Logistic regressions were used to test whether anxiety, neuroticism, extraversion, openness, agreeableness, and conscientiousness predicted the white-coat and masked hypertension phenomena. Age, sex, and antihypertensive medication use were tested as moderators. Significant interactions were found between personality traits and antihypertensive medications in predicting masked and white-coat effects. Only among those taking antihypertensive medication, higher anxiety was associated with a higher risk of pseudo-resistant hypertension due to white-coat effect (odds ratio 1.39, 95% confidence interval 1.01-1.91) and higher conscientiousness was associated with a lower risk of masked uncontrolled hypertension (odds ratio 0.70, 95% confidence interval 0.49-0.99). There were no significant interactions with age or sex. Among those on antihypertensive medications, anxious individuals were more likely to have pseudo-resistant hypertension due to white-coat effect and less conscientious individuals were at increased risk of masked uncontrolled hypertension. Particularly among anxious and less conscientious individuals, ambulatory monitoring may improve the tailoring of pharmacological treatments.

  18. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  19. Suicidal nitrogen inhalation by use of scuba full-face diving mask.

    Science.gov (United States)

    Straka, Lubomir; Novomesky, Frantisek; Gavel, Anton; Mlynar, Juraj; Hejna, Petr

    2013-09-01

    A 29-year-old man was found dead lying on the bed in a hotel room in a famous Slovak mountain resort. He had a full-face diving mask on his face, connected through a diving breath regulator to a valve of an industrial (nondiving) high-pressure tank containing pure 100% nitrogen. The breath regulator (open-circuit type) used allowed inhalation of nitrogen without addition of open air, and the full-face diving mask assured aspiration of the gas even during the time of unconsciousness. At autopsy, we found the typical signs of suffocation. Toxicological analysis revealed 94.7% content of nitrogen in alveolar air. Following the completion of the police investigation, the manner of death was classified as a suicide. Within the medico-legal literature, there has been only one similar case of suicidal nitrogen inhalation described. © 2013 American Academy of Forensic Sciences.

  20. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  1. Lithographic qualification of high-transmission mask blank for 10nm node and beyond

    Science.gov (United States)

    Xu, Yongan; Faure, Tom; Viswanathan, Ramya; Lobb, Granger; Wistrom, Richard; Burns, Sean; Hu, Lin; Graur, Ioana; Bleiman, Ben; Fischer, Dan; Mignot, Yann; Sakamoto, Yoshifumi; Toda, Yusuke; Bolton, John; Bailey, Todd; Felix, Nelson; Arnold, John; Colburn, Matthew

    2016-04-01

    In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.

  2. New performance data for {open_quotes}Emery 3002{close_quotes} and {open_quotes}Emery 3004,{close_quotes} two Army-approved safe materials to replace DOP in mask and filter testing

    Energy Technology Data Exchange (ETDEWEB)

    Carlon, H.R.; Guelta, M.A. [Army Edgewood Research, Development and Engineering Center, Aberdeen Proving Ground, MD (United States)

    1995-02-01

    At the 22nd Conference in Denver, we reported that the U.S. Army Surgeon General (SGJ) had approved our developmental material {open_quotes}Emery 3004{close_quotes} as a safe replacement for the suspected carcinogen DOP (dioctyl phthalate) in mask and filter testing throughout the Army. Subsequently the SG approved a second, less viscous material, {open_quotes}Emery 3002,{close_quotes} for similar applications. We have measured the viscosities and surface tensions of these liquids over a wide range of temperatures, and have initiated liquid breakup studies through Laskin and two-fluid nozzles. New measurements have been carried out with both liquids, e.g. using the ATI, Inc., TDA-4A cold generator to disperse aerosols for which droplet size distributions were measured using the TSI, Inc., Differential Mobility Particle Sizer (DMPS). Among the findings were that Emery 3004 performs much like DOP in the TDA-4A, with some possible advantages, while Emery 3002 in the TDA-4A produces mean droplet diameters about one-half those of Emery 3004 or DOP. This suggests that Emery 3002 could yield more rigorous filter tests with a smaller consumption of material. New laboratory results will be summarized. Sources of the {open_quotes}Emery{close_quotes} materials will be discussed since the production facility formerly operated by Emery is now run by the Ethyl Corporation and the source products are now known as {open_quotes}Ethylflo 192{close_quotes} (Emery 3002) and{open_quotes}Ethylflo 194{close_quotes} (Emery 3004).

  3. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  4. Time course of dichoptic masking in normals and suppression in amblyopes.

    Science.gov (United States)

    Zhou, Jiawei; McNeal, Suzanne; Babu, Raiju J; Baker, Daniel H; Bobier, William R; Hess, Robert F

    2014-04-17

    To better understand the relationship between dichoptic masking in normal vision and suppression in amblyopia we address three questions: First, what is the time course of dichoptic masking in normals and amblyopes? Second, is interocular suppression low-pass or band-pass in its spatial dependence? And third, in the above two regards, is dichoptic masking in normals different from amblyopic suppression? We measured the dependence of dichoptic masking in normal controls and amblyopes on the temporal duration of presentation under three conditions; monocular (the nontested eye-i.e., dominant eye of normals or nonamblyopic eye of amblyopes, being patched), dichoptic-luminance (the nontested eye seeing a mean luminance-i.e., a DC component) and dichoptic-contrast (the nontested eye seeing high-contrast visual noise). The subject had to detect a letter in the other eye, the contrast of which was varied. We found that threshold elevation relative to the patched condition occurred in both normals and amblyopes when the nontested eye saw either 1/f or band-pass filtered noise, but not just mean luminance (i.e., there was no masking from the DC component that corresponds to a channel responsive to a spatial frequency of 0 cyc/deg); longer presentation of the target (corresponding to lower temporal frequencies) produced greater threshold elevation. Dichoptic masking exhibits similar properties in both subject groups, being low-pass temporally and band-pass spatially, so that masking was greatest at the longest presentation durations and was not greatly affected by mean luminance in the nontested eye. Copyright 2014 The Association for Research in Vision and Ophthalmology, Inc.

  5. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  6. The prevalence effect in lateral masking and its relevance for visual search.

    Science.gov (United States)

    Geelen, B P; Wertheim, A H

    2015-04-01

    In stimulus displays with or without a single target amid 1,644 identical distractors, target prevalence was varied between 20, 50 and 80 %. Maximum gaze deviation was measured to determine the strength of lateral masking in these arrays. The results show that lateral masking was strongest in the 20 % prevalence condition, which differed significantly from both the 50 and 80 % prevalence conditions. No difference was observed between the latter two. This pattern of results corresponds to that found in the literature on the prevalence effect in visual search (stronger lateral masking corresponding to longer search times). The data add to similar findings reported earlier (Wertheim et al. in Exp Brain Res, 170:387-402, 2006), according to which the effects of many well-known factors in visual search correspond to those on lateral masking. These were the effects of set size, disjunctions versus conjunctions, display area, distractor density, the asymmetry effect (Q vs. O's) and viewing distance. The present data, taken together with those earlier findings, may lend credit to a causal hypothesis that lateral masking could be a more important mechanism in visual search than usually assumed.

  7. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  8. Fabrication of open-top microchannel plate using deep X-ray exposure mask made with silicon on insulator substrate

    CERN Document Server

    Fujimura, T; Etoh, S I; Hattori, R; Kuroki, Y; Chang, S S

    2003-01-01

    We propose a high-aspect-ratio open-top microchannel plate structure. This type of microchannel plate has many advantages in electrophoresis. The plate was fabricated by deep X-ray lithography using synchrotron radiation (SR) light and the chemical wet etching process. A deep X-ray exposure mask was fabricated with a silicon on insulator (SOI) substrate. The patterned Si microstructure was micromachined into a thin Si membrane and a thick Au X-ray absorber was embedded in it by electroplating. A plastic material, polymethylmethacrylate (PMMA) was used for the plate substrate. For reduction of the exposure time and high-aspect-ratio fast wet development, the fabrication condition was optimized with respect to not the exposure dose but to the PMMA mean molecular weight (M.W.) changing after deep X-ray exposure as measured by gel permeation chromatography (GPC). Decrement of the PMMA M.W. and increment of the wet developer temperature accelerated the etching rate. Under optimized fabrication conditions, a microc...

  9. TMS effects on subjective and objective measures of vision: stimulation intensity and pre- versus post-stimulus masking.

    Science.gov (United States)

    de Graaf, Tom A; Cornelsen, Sonja; Jacobs, Christianne; Sack, Alexander T

    2011-12-01

    Transcranial magnetic stimulation (TMS) can be used to mask visual stimuli, disrupting visual task performance or preventing visual awareness. While TMS masking studies generally fix stimulation intensity, we hypothesized that varying the intensity of TMS pulses in a masking paradigm might inform several ongoing debates concerning TMS disruption of vision as measured subjectively versus objectively, and pre-stimulus (forward) versus post-stimulus (backward) TMS masking. We here show that both pre-stimulus TMS pulses and post-stimulus TMS pulses could strongly mask visual stimuli. We found no dissociations between TMS effects on the subjective and objective measures of vision for any masking window or intensity, ruling out the option that TMS intensity levels determine whether dissociations between subjective and objective vision are obtained. For the post-stimulus time window particularly, we suggest that these data provide new constraints for (e.g. recurrent) models of vision and visual awareness. Finally, our data are in line with the idea that pre-stimulus masking operates differently from conventional post-stimulus masking. Copyright © 2011 Elsevier Inc. All rights reserved.

  10. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  11. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  12. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  13. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    Science.gov (United States)

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  14. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  15. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  16. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  17. Mask Ventilation during Induction of General Anesthesia: Influences of Obstructive Sleep Apnea.

    Science.gov (United States)

    Sato, Shin; Hasegawa, Makoto; Okuyama, Megumi; Okazaki, Junko; Kitamura, Yuji; Sato, Yumi; Ishikawa, Teruhiko; Sato, Yasunori; Isono, Shiroh

    2017-01-01

    Depending on upper airway patency during anesthesia induction, tidal volume achieved by mask ventilation may vary. In 80 adult patients undergoing general anesthesia, the authors tested a hypothesis that tidal volume during mask ventilation is smaller in patients with sleep-disordered breathing priorly defined as apnea hypopnea index greater than 5 per hour. One-hand mask ventilation with a constant ventilator setting (pressure-controlled ventilation) was started 20 s after injection of rocuronium and maintained for 1 min during anesthesia induction. Mask ventilation efficiency was assessed by the breath number needed to initially exceed 5 ml/kg ideal body weight of expiratory tidal volume (primary outcome) and tidal volumes (secondary outcomes) during initial 15 breaths (UMIN000012494). Tidal volume progressively increased by more than 70% in 1 min and did not differ between sleep-disordered breathing (n = 42) and non-sleep-disordered breathing (n = 38) patients. In post hoc subgroup analyses, the primary outcome breath number (mean [95% CI], 5.7 [4.1 to 7.3] vs. 1.7 [0.2 to 3.2] breath; P = 0.001) and mean tidal volume (6.5 [4.6 to 8.3] vs. 9.6 [7.7 to 11.4] ml/kg ideal body weight; P = 0.032) were significantly smaller in 20 sleep-disordered breathing patients with higher apnea hypopnea index (median [25th to 75th percentile]: 21.7 [17.6 to 31] per hour) than in 20 non-sleep disordered breathing subjects with lower apnea hypopnea index (1.0 [0.3 to 1.5] per hour). Obesity and occurrence of expiratory flow limitation during one-hand mask ventilation independently explained the reduction of efficiency of mask ventilation, while the use of two hands effectively normalized inefficient mask ventilation during one-hand mask ventilation. One-hand mask ventilation is difficult in patients with obesity and severe sleep-disordered breathing particularly when expiratory flow limitation occurs during mask ventilation.

  18. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  19. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  20. Cycle time reduction by Html report in mask checking flow

    Science.gov (United States)

    Chen, Jian-Cheng; Lu, Min-Ying; Fang, Xiang; Shen, Ming-Feng; Ma, Shou-Yuan; Yang, Chuen-Huei; Tsai, Joe; Lee, Rachel; Deng, Erwin; Lin, Ling-Chieh; Liao, Hung-Yueh; Tsai, Jenny; Bowhill, Amanda; Vu, Hien; Russell, Gordon

    2017-07-01

    The Mask Data Correctness Check (MDCC) is a reticle-level, multi-layer DRC-like check evolved from mask rule check (MRC). The MDCC uses extended job deck (EJB) to achieve mask composition and to perform a detailed check for positioning and integrity of each component of the reticle. Different design patterns on the mask will be mapped to different layers. Therefore, users may be able to review the whole reticle and check the interactions between different designs before the final mask pattern file is available. However, many types of MDCC check results, such as errors from overlapping patterns usually have very large and complex-shaped highlighted areas covering the boundary of the design. Users have to load the result OASIS file and overlap it to the original database that was assembled in MDCC process on a layout viewer, then search for the details of the check results. We introduce a quick result-reviewing method based on an html format report generated by Calibre® RVE. In the report generation process, we analyze and extract the essential part of result OASIS file to a result database (RDB) file by standard verification rule format (SVRF) commands. Calibre® RVE automatically loads the assembled reticle pattern and generates screen shots of these check results. All the processes are automatically triggered just after the MDCC process finishes. Users just have to open the html report to get the information they need: for example, check summary, captured images of results and their coordinates.

  1. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  2. Setting thresholds to varying blood pressure monitoring intervals differentially affects risk estimates associated with white-coat and masked hypertension in the population.

    Science.gov (United States)

    Asayama, Kei; Thijs, Lutgarde; Li, Yan; Gu, Yu-Mei; Hara, Azusa; Liu, Yan-Ping; Zhang, Zhenyu; Wei, Fang-Fei; Lujambio, Inés; Mena, Luis J; Boggia, José; Hansen, Tine W; Björklund-Bodegård, Kristina; Nomura, Kyoko; Ohkubo, Takayoshi; Jeppesen, Jørgen; Torp-Pedersen, Christian; Dolan, Eamon; Stolarz-Skrzypek, Katarzyna; Malyutina, Sofia; Casiglia, Edoardo; Nikitin, Yuri; Lind, Lars; Luzardo, Leonella; Kawecka-Jaszcz, Kalina; Sandoya, Edgardo; Filipovský, Jan; Maestre, Gladys E; Wang, Jiguang; Imai, Yutaka; Franklin, Stanley S; O'Brien, Eoin; Staessen, Jan A

    2014-11-01

    Outcome-driven recommendations about time intervals during which ambulatory blood pressure should be measured to diagnose white-coat or masked hypertension are lacking. We cross-classified 8237 untreated participants (mean age, 50.7 years; 48.4% women) enrolled in 12 population studies, using ≥140/≥90, ≥130/≥80, ≥135/≥85, and ≥120/≥70 mm Hg as hypertension thresholds for conventional, 24-hour, daytime, and nighttime blood pressure. White-coat hypertension was hypertension on conventional measurement with ambulatory normotension, the opposite condition being masked hypertension. Intervals used for classification of participants were daytime, nighttime, and 24 hours, first considered separately, and next combined as 24 hours plus daytime or plus nighttime, or plus both. Depending on time intervals chosen, white-coat and masked hypertension frequencies ranged from 6.3% to 12.5% and from 9.7% to 19.6%, respectively. During 91 046 person-years, 729 participants experienced a cardiovascular event. In multivariable analyses with normotension during all intervals of the day as reference, hazard ratios associated with white-coat hypertension progressively weakened considering daytime only (1.38; P=0.033), nighttime only (1.43; P=0.0074), 24 hours only (1.21; P=0.20), 24 hours plus daytime (1.24; P=0.18), 24 hours plus nighttime (1.15; P=0.39), and 24 hours plus daytime and nighttime (1.16; P=0.41). The hazard ratios comparing masked hypertension with normotension were all significant (Pcoat hypertension requires setting thresholds simultaneously to 24 hours, daytime, and nighttime blood pressure. Although any time interval suffices to diagnose masked hypertension, as proposed in current guidelines, full 24-hour recordings remain standard in clinical practice. © 2014 American Heart Association, Inc.

  3. Setting Thresholds to Varying Blood Pressure Monitoring Intervals Differentially Affects Risk Estimates Associated With White-Coat and Masked Hypertension in the Population

    Science.gov (United States)

    Asayama, Kei; Thijs, Lutgarde; Li, Yan; Gu, Yu-Mei; Hara, Azusa; Liu, Yan-Ping; Zhang, Zhenyu; Wei, Fang-Fei; Lujambio, Inés; Mena, Luis J.; Boggia, José; Hansen, Tine W.; Björklund-Bodegård, Kristina; Nomura, Kyoko; Ohkubo, Takayoshi; Jeppesen, Jørgen; Torp-Pedersen, Christian; Dolan, Eamon; Stolarz-Skrzypek, Katarzyna; Malyutina, Sofia; Casiglia, Edoardo; Nikitin, Yuri; Lind, Lars; Luzardo, Leonella; Kawecka-Jaszcz, Kalina; Sandoya, Edgardo; Filipovský, Jan; Maestre, Gladys E.; Wang, Jiguang; Imai, Yutaka; Franklin, Stanley S.; O’Brien, Eoin; Staessen, Jan A.

    2015-01-01

    Outcome-driven recommendations about time intervals during which ambulatory blood pressure should be measured to diagnose white-coat or masked hypertension are lacking. We cross-classified 8237 untreated participants (mean age, 50.7 years; 48.4% women) enrolled in 12 population studies, using ≥140/≥90, ≥130/≥80, ≥135/≥85, and ≥120/≥70 mm Hg as hypertension thresholds for conventional, 24-hour, daytime, and nighttime blood pressure. White-coat hypertension was hypertension on conventional measurement with ambulatory normotension, the opposite condition being masked hypertension. Intervals used for classification of participants were daytime, nighttime, and 24 hours, first considered separately, and next combined as 24 hours plus daytime or plus nighttime, or plus both. Depending on time intervals chosen, white-coat and masked hypertension frequencies ranged from 6.3% to 12.5% and from 9.7% to 19.6%, respectively. During 91 046 person-years, 729 participants experienced a cardiovascular event. In multivariable analyses with normotension during all intervals of the day as reference, hazard ratios associated with white-coat hypertension progressively weakened considering daytime only (1.38; P=0.033), nighttime only (1.43; P=0.0074), 24 hours only (1.21; P=0.20), 24 hours plus daytime (1.24; P=0.18), 24 hours plus nighttime (1.15; P=0.39), and 24 hours plus daytime and nighttime (1.16; P=0.41). The hazard ratios comparing masked hypertension with normotension were all significant (Phypertension requires setting thresholds simultaneously to 24 hours, daytime, and nighttime blood pressure. Although any time interval suffices to diagnose masked hypertension, as proposed in current guidelines, full 24-hour recordings remain standard in clinical practice. PMID:25135185

  4. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  5. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  6. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  7. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  8. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  9. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  10. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  11. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  12. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  13. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  14. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  15. Trial manufacture of round mask for TV fluoroscopic unit

    International Nuclear Information System (INIS)

    Matsuoka, Shoji; Matsumoto, Yukio

    1977-01-01

    Demands for revision of existing medical law were described together with an introduction of TV fluoroscopic unit. Round mask, which does not always press out the bottom face of used x-ray beam from the effective primary fluorescent face of photomultiplier inspite of shifting of a spot in time of TV fluoroscopy, was manufactured for trial, and it was furnished with already established fluoroscopic stand. It is used in daily examination without any trouble. Round mask was divided into two parts, and opened upward and downward quickly by lod motor in time of photographing. Multiple iris was operated in order to fit to film size, and round mask was closed at the same time of the finishment of photographing and fluoroscopy was performed again. Item 3 of Para 2 of Art 30 of the existing medical low states that it is good not to press out, the distance between x-ray focus and fluorescent screen, and used x-ray beam from fluorescent screen. However, a regulation, which states that x-ray beam should not be pressed out from effective primary fluorescent face in x-ray fluoroscopy using photomultiplier, must be added. Improvement of the existing unit is expected, and decrease of unnecessary exposure even in small amount is proposed. (Tsunoda, M.)

  16. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  18. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  19. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  20. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  1. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  2. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  3. APPLICATION OF EQUIPMENT FOR AUTOMATIC CONTROL OF PLANAR STRUCTURES IN MANUFACTURING MASTER MASKS OF INTEGRATED CIRCUITS ON PHOTO-MASKS

    Directory of Open Access Journals (Sweden)

    S. M. Avakov

    2007-01-01

    Full Text Available Following the concept of defect-free manufacturing of master masks of IC on photo-masks, two Belarusian sets of optomechanical equipment for 0,3 5 p and 90 nanometers are presented in the paper. Each of the sets comprises:   • Multi-channel laser pattern generator; • Automatic mask defect inspection system; • Laser-based mask defect repair system.The paper contains description of automatic mask defect inspection process during photo-mask manufacturing and respective basic technological operations of the processes.Advantages of a complex approach to the development of a set of opto-mechanical equipment for defect-free manufacturing of photo-masks have been analyzed in the paper. 

  4. Design of TOPAZ masking system using EGS4

    International Nuclear Information System (INIS)

    Uno, Shoji

    1991-01-01

    There are two sources of the beam background in the e + e - collider experiments. One source is the synchrotron radiation from many magnets. Another source comes from the spent-electron hitting the beam pipe near the interaction region. To reduce the these background, TOPAZ masking system was designed using EGS4 code. The designed masking system consists of two pairs of masks which are called mask-1 and mask-2. The mask-1 is placed to intercept the spent-electron. The aperture of the mask-2 was determined for the synchrotron radiation photons not to hit the mask-1 directly. After these masks were installed, we are taking the data in the small beam background. (author)

  5. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    Science.gov (United States)

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  6. Mask Phenomenon in Communication

    Institute of Scientific and Technical Information of China (English)

    郎丽璇

    2013-01-01

    People sometimes wear masks. Abusive expression may be used to convey love while polite words can be exchanged among enemies. This essay describes and discusses this special phenomenon in communication and analyzes the elements that con-tribute to the success of a mask communication.

  7. The Moody Mask Model

    DEFF Research Database (Denmark)

    Larsen, Bjarke Alexander; Andkjær, Kasper Ingdahl; Schoenau-Fog, Henrik

    2015-01-01

    This paper proposes a new relation model, called "The Moody Mask model", for Interactive Digital Storytelling (IDS), based on Franceso Osborne's "Mask Model" from 2011. This, mixed with some elements from Chris Crawford's Personality Models, is a system designed for dynamic interaction between ch...

  8. Brightness masking is modulated by disparity structure.

    Science.gov (United States)

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  9. Gilded Silver Mask

    Institute of Scientific and Technical Information of China (English)

    1998-01-01

    This gilded silver mask from the Liao Dynasty is 31 cm long and 22.2 cm wide. The plump oval face was designed with a protruding brow ridge, narrow eyes, high-bridged nose and closed mouth. The chin is slightly round against a thin neck, the ears are long and the hair can be clearly seen from the finely carved lines. The use of masks was recorded as

  10. Shadows alter facial expressions of Noh masks.

    Directory of Open Access Journals (Sweden)

    Nobuyuki Kawai

    Full Text Available BACKGROUND: A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. METHODOLOGY/PRINCIPAL FINDINGS: In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. CONCLUSIONS/SIGNIFICANCE: Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety", which highly appreciates subtle emotional expressions in the darkness.

  11. Are Masking-Based Models of Risk Useful?

    Science.gov (United States)

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  12. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    OpenAIRE

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia.METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a ra...

  13. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    Science.gov (United States)

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  14. Mask materials in powderblasting

    NARCIS (Netherlands)

    Wensink, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    1999-01-01

    Powderblasting has the opportunity to become a standard technology in micromachining. To machine small details with powderbalsting, it is necessary to use a suiabled mask. In this paper four mask types ares examined. BF400 resist foil is most suitable for standard use in powderblasting for reason of

  15. A masking index for quantifying hidden glitches

    OpenAIRE

    Berti-Equille, Laure; Loh, J. M.; Dasu, T.

    2015-01-01

    Data glitches are errors in a dataset. They are complex entities that often span multiple attributes and records. When they co-occur in data, the presence of one type of glitch can hinder the detection of another type of glitch. This phenomenon is called masking. In this paper, we define two important types of masking and propose a novel, statistically rigorous indicator called masking index for quantifying the hidden glitches. We outline four cases of masking: outliers masked by missing valu...

  16. Shadows Alter Facial Expressions of Noh Masks

    Science.gov (United States)

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  17. 21 CFR 868.5570 - Nonrebreathing mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  18. 37 CFR 211.3 - Mask work fees.

    Science.gov (United States)

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  19. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  20. Noise masking of S-cone increments and decrements.

    Science.gov (United States)

    Wang, Quanhong; Richters, David P; Eskew, Rhea T

    2014-11-12

    S-cone increment and decrement detection thresholds were measured in the presence of bipolar, dynamic noise masks. Noise chromaticities were the L-, M-, and S-cone directions, as well as L-M, L+M, and achromatic (L+M+S) directions. Noise contrast power was varied to measure threshold Energy versus Noise (EvN) functions. S+ and S- thresholds were similarly, and weakly, raised by achromatic noise. However, S+ thresholds were much more elevated by S, L+M, L-M, L- and M-cone noises than were S- thresholds, even though the noises consisted of two symmetric chromatic polarities of equal contrast power. A linear cone combination model accounts for the overall pattern of masking of a single test polarity well. L and M cones have opposite signs in their effects upon raising S+ and S- thresholds. The results strongly indicate that the psychophysical mechanisms responsible for S+ and S- detection, presumably based on S-ON and S-OFF pathways, are distinct, unipolar mechanisms, and that they have different spatiotemporal sampling characteristics, or contrast gains, or both. © 2014 ARVO.

  1. 21 CFR 868.5590 - Scavenging mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  2. 21 CFR 868.5600 - Venturi mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  3. A 6-week, multicenter, randomized, double-masked, parallel-group study comparing travoprost 0.004% to latanoprost 0.005% followed by 6-week, open-label treatment with travoprost 0.004%.

    Science.gov (United States)

    Maul, Eugenio; Carrasco, Félix Gil; Costa, Vital Paulino; Casiraghi, Javier F; Vargas, Enrique; Sarmina, Judith S; Mayol, Renato

    2007-09-01

    The aim of this study was to compare the tolerability and efficacy of once-daily travoprost 0.004% versus latanoprost 0.005% for 6 weeks followed by 6 weeks of once-daily travoprost 0.004% in decreasing intraocular pressure (IOP) in patients with open-angle glaucoma (OAG) or ocular hypertension (OH). This multicenter, randomized, doublemasked, active-controlled, parallel-group trial was conducted at 32 centers across Latin America. Patients aged > or =18 years with OAG or OH were randomly assigned to receive topical travoprost 0.004% or latanoprost 0.005% 1 drop QD (9 PM) for 6 weeks (masked phase). At 6 weeks, all patients were assigned to receive open-label travoprost 0.004% 1 drop QD (9 PM) for 6 additional weeks (open-label phase). Study visits were scheduled at weeks 1, 2, 4, 6, 8, and 12. At each study visit, IOP was measured at 5 PM (+/-1 hour; approximately 20 hours after study drug administration). IOP changes from baseline were combined (pooled) from the 1-, 2-, 4-, and 6-week data to provide a comparison between the 2 treatment groups. Ocular adverse events (AEs) were monitored using slit-lamp examination. A total of 302 patients were enrolled (travoprost group, 155 patients; latanoprost group, 147 patients). The mean (SD) age of the travoprost group was 61.9 (10.6) years; 60.6% were female; and 47.1% were white. The mean (SD) age of the latanoprost group was 60.5 (12.4) years; 62.6% were female; and 49.0% were white. Mean IOP values were not significantly different between the travoprost and latanoprost groups at baseline (24.7 vs 24.2 mm Hg) or 6 weeks; however, the between-group difference in reductions from baseline in pooled IOP during the masked phase of the study was statistically significant (-8.3 vs -7.5 mm Hg; P = 0.009). At weeks 6 and 12, mean lOP levels were 16.1 and 16.2 mm Hg, respectively, in the travoprost group and 16.4 and 16.1 mm Hg in the group that was switched from latanoprost to travoprost (all, P = NS). The most common ocular AEs

  4. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  5. Vibrotactile masking experiments reveal accelerated somatosensory processing in congenitally blind braille readers.

    Science.gov (United States)

    Bhattacharjee, Arindam; Ye, Amanda J; Lisak, Joy A; Vargas, Maria G; Goldreich, Daniel

    2010-10-27

    Braille reading is a demanding task that requires the identification of rapidly varying tactile patterns. During proficient reading, neighboring characters impact the fingertip at ∼100 ms intervals, and adjacent raised dots within a character at 50 ms intervals. Because the brain requires time to interpret afferent sensorineural activity, among other reasons, tactile stimuli separated by such short temporal intervals pose a challenge to perception. How, then, do proficient Braille readers successfully interpret inputs arising from their fingertips at such rapid rates? We hypothesized that somatosensory perceptual consolidation occurs more rapidly in proficient Braille readers. If so, Braille readers should outperform sighted participants on masking tasks, which demand rapid perceptual processing, but would not necessarily outperform the sighted on tests of simple vibrotactile sensitivity. To investigate, we conducted two-interval forced-choice vibrotactile detection, amplitude discrimination, and masking tasks on the index fingertips of 89 sighted and 57 profoundly blind humans. Sighted and blind participants had similar unmasked detection (25 ms target tap) and amplitude discrimination (compared with 100 μm reference tap) thresholds, but congenitally blind Braille readers, the fastest readers among the blind participants, exhibited significantly less masking than the sighted (masker, 50 Hz, 50 μm; target-masker delays, ±50 and ±100 ms). Indeed, Braille reading speed correlated significantly and specifically with masking task performance, and in particular with the backward masking decay time constant. We conclude that vibrotactile sensitivity is unchanged but that perceptual processing is accelerated in congenitally blind Braille readers.

  6. 21 CFR 868.5580 - Oxygen mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device...

  7. Partial tripolar cochlear implant stimulation: Spread of excitation and forward masking in the inferior colliculus.

    Science.gov (United States)

    Bierer, Julie Arenberg; Bierer, Steven M; Middlebrooks, John C

    2010-12-01

    This study examines patterns of neural activity in response to single biphasic electrical pulses, presented alone or following a forward masking pulse train, delivered by a cochlear implant. Recordings were made along the tonotopic axis of the central nucleus of the inferior colliculus (ICC) in ketamine/xylazine anesthetized guinea pigs. The partial tripolar electrode configuration was used, which provided a systematic way to vary the tonotopic extent of ICC activation between monopolar (broad) and tripolar (narrow) extremes while maintaining the same peak of activation. The forward masking paradigm consisted of a 200 ms masker pulse train (1017 pulses per second) followed 10 ms later by a single-pulse probe stimulus; the current fraction of the probe was set to 0 (monopolar), 1 (tripolar), or 0.5 (hybrid), and the fraction of the masker was fixed at 0.5. Forward masking tuning profiles were derived from the amount of masking current required to just suppress the activity produced by a fixed-level probe. These profiles were sharper for more focused probe configurations, approximating the pattern of neural activity elicited by single (non-masked) pulses. The result helps to bridge the gap between previous findings in animals and recent psychophysical data. Copyright © 2010 Elsevier B.V. All rights reserved.

  8. Automatic evaluation isn't that crude! Moderation of masked affective priming by type of valence

    NARCIS (Netherlands)

    Wentura, D.; Degner, J.

    2010-01-01

    In two experiments, the automatic processing of evaluative information was investigated using a masked affective priming paradigm, varying valence (positive vs. negative) and relevance (other-relevant traits vs. possessor-relevant traits; Peeters, 1983) of prime and target stimuli. It was found that

  9. Simulation-based MDP verification for leading-edge masks

    Science.gov (United States)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  10. Individuals and Their Masks

    Directory of Open Access Journals (Sweden)

    Belén Altuna

    2009-08-01

    Full Text Available This essay works on the opposition between face and mask, where ‘face’ is understood as that which makes every human being singular, and makes visible her or his unique worth, while ‘mask’ is understood as whatever hides that singularity, and refers to a category, stereotype or cliché. The etymological history that relates face and mask to the concept of person, and the history of modern portrait painting, which alternates representations of face and mask, both lead to a discussion with authors who diagnose a contemporary “defeat of the face” as a result of the crisis of humanism and of ethical individualism, which give meaning and dignity to that face.

  11. Power spectrum model of visual masking: simulations and empirical data.

    Science.gov (United States)

    Serrano-Pedraza, Ignacio; Sierra-Vázquez, Vicente; Derrington, Andrew M

    2013-06-01

    In the study of the spatial characteristics of the visual channels, the power spectrum model of visual masking is one of the most widely used. When the task is to detect a signal masked by visual noise, this classical model assumes that the signal and the noise are previously processed by a bank of linear channels and that the power of the signal at threshold is proportional to the power of the noise passing through the visual channel that mediates detection. The model also assumes that this visual channel will have the highest ratio of signal power to noise power at its output. According to this, there are masking conditions where the highest signal-to-noise ratio (SNR) occurs in a channel centered in a spatial frequency different from the spatial frequency of the signal (off-frequency looking). Under these conditions the channel mediating detection could vary with the type of noise used in the masking experiment and this could affect the estimation of the shape and the bandwidth of the visual channels. It is generally believed that notched noise, white noise and double bandpass noise prevent off-frequency looking, and high-pass, low-pass and bandpass noises can promote it independently of the channel's shape. In this study, by means of a procedure that finds the channel that maximizes the SNR at its output, we performed numerical simulations using the power spectrum model to study the characteristics of masking caused by six types of one-dimensional noise (white, high-pass, low-pass, bandpass, notched, and double bandpass) for two types of channel's shape (symmetric and asymmetric). Our simulations confirm that (1) high-pass, low-pass, and bandpass noises do not prevent the off-frequency looking, (2) white noise satisfactorily prevents the off-frequency looking independently of the shape and bandwidth of the visual channel, and interestingly we proved for the first time that (3) notched and double bandpass noises prevent off-frequency looking only when the noise

  12. Image differencing using masked CCD

    International Nuclear Information System (INIS)

    Rushbrooke, J.G.; Ansorge, R.E.; Webber, C.J. St. J.

    1987-01-01

    A charge coupled device has some of its ''pixels'' masked by a material which is opaque to the radiation to which the device is to be exposed, each masked region being employed as a storage zone into which the charge pattern from the unmasked pixels can be transferred to enable a subsequent charge pattern to be established on further exposure of the unmasked pixels. The components of the resulting video signal corresponding to the respective charge patterns read-out from the CCD are subtracted to produce a video signal corresponding to the difference between the two images which formed the respective charge patterns. Alternate rows of pixels may be masked, or chequer-board pattern masking may be employed. In an X-ray imaging system the CCD is coupled to image intensifying and converting means. (author)

  13. Evaluation of a new pediatric positive airway pressure mask.

    Science.gov (United States)

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  14. Sinusoidal masks for single channel speech separation

    DEFF Research Database (Denmark)

    Mowlaee, Pejman; Christensen, Mads Græsbøll; Jensen, Søren Holdt

    2010-01-01

    In this paper we present a new approach for binary and soft masks used in single-channel speech separation. We present a novel approach called the sinusoidal mask (binary mask and Wiener filter) in a sinusoidal space. Theoretical analysis is presented for the proposed method, and we show...... that the proposed method is able to minimize the target speech distortion while suppressing the crosstalk to a predetermined threshold. It is observed that compared to the STFTbased masks, the proposed sinusoidal masks improve the separation performance in terms of objective measures (SSNR and PESQ) and are mostly...

  15. Predicting masking release of lateralized speech

    DEFF Research Database (Denmark)

    Chabot-Leclerc, Alexandre; MacDonald, Ewen; Dau, Torsten

    2016-01-01

    . The largest masking release (MR) was observed when all maskers were on the opposite side of the target. The data in the conditions containing only energetic masking and modulation masking could be accounted for using a binaural extension of the speech-based envelope power spectrum model [sEPSM; Jørgensen et...... al., 2013, J. Acoust. Soc. Am. 130], which uses a short-term equalization-cancellation process to model binaural unmasking. In the conditions where informational masking (IM) was involved, the predicted SRTs were lower than the measured values because the model is blind to confusions experienced...

  16. Rapid iconic erasure without masking.

    Science.gov (United States)

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  17. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  18. Self-masking: Listening during vocalization. Normal hearing.

    Science.gov (United States)

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  19. Alternative method for variable aspect ratio vias using a vortex mask

    Science.gov (United States)

    Schepis, Anthony R.; Levinson, Zac; Burbine, Andrew; Smith, Bruce W.

    2014-03-01

    Historically IC (integrated circuit) device scaling has bridged the gap between technology nodes. Device size reduction is enabled by increased pattern density, enhancing functionality and effectively reducing cost per chip. Exemplifying this trend are aggressive reductions in memory cell sizes that have resulted in systems with diminishing area between bit/word lines. This affords an even greater challenge in the patterning of contact level features that are inherently difficult to resolve because of their relatively small area and complex aerial image. To accommodate these trends, semiconductor device design has shifted toward the implementation of elliptical contact features. This empowers designers to maximize the use of free device space, preserving contact area and effectively reducing the via dimension just along a single axis. It is therefore critical to provide methods that enhance the resolving capacity of varying aspect ratio vias for implementation in electronic design systems. Vortex masks, characterized by their helically induced propagation of light and consequent dark core, afford great potential for the patterning of such features when coupled with a high resolution negative tone resist system. This study investigates the integration of a vortex mask in a 193nm immersion (193i) lithography system and qualifies its ability to augment aspect ratio through feature density using aerial image vector simulation. It was found that vortex fabricated vias provide a distinct resolution advantage over traditionally patterned contact features employing a 6% attenuated phase shift mask (APM). 1:1 features were resolvable at 110nm pitch with a 38nm critical dimension (CD) and 110nm depth of focus (DOF) at 10% exposure latitude (EL). Furthermore, iterative source-mask optimization was executed as means to augment aspect ratio. By employing mask asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1 were achievable, however, this

  20. New data on masking reagents in complexometry

    International Nuclear Information System (INIS)

    Yurist, I.M.; Talmud, M.M.; Zajtsev, P.M.

    1985-01-01

    Recent literature data on employing inorganic and organic oxygen-, nitrogen- and sulfur-containing substances as masking reagents (MR) in complexonometry of alkali earths, rare earths and transition elements are reviewed for the period of 1971-1983. Effectiveness of any type of MR is shown to be dependent on the electron configuration of a cation being masked. Sr, La, Th, V(6), Zr, Hf, V(5), Nb(5), Ta(5), Mo(6), W(6) a.o. are masked by oxygen-containing ligands. Zn, Cd, Fe(2, 3), Co(2, 3), Ni, etc. are masked by nitrogen- and sulfur-bearing ligands. Thiocompounds mask mainly In, Tl(3), Sn(2), Pb, Bi

  1. A new laryngeal mask supraglottic airway device with integrated balloon line: a descriptive and comparative bench study

    Directory of Open Access Journals (Sweden)

    Zhou YH

    2016-11-01

    Full Text Available YingHai Zhou,1 Korinne Jew2 1Research & Development, Patient Monitoring & Recovery, Medtronic Technology Center, Shanghai, People’s Republic of China; 2Medical Affairs, Minimally Invasive Therapies Group, Medtronic, Boulder, CO, USA Abstract: Laryngeal masks are invasive devices for airway management placed in the supraglottic position. The Shiley™ laryngeal mask (Shiley™ LM features an integrated inflation tube and airway shaft to facilitate product insertion and reduce the chance of tube occlusion when patients bite down. This study compared the Shiley LM to two other disposable laryngeal mask devices, the Ambu® AuraStraight™ and the LMA Unique™. Overall device design, tensile strength, flexibility of various structures, and sealing performance were measured. The Shiley LM is structurally stronger and its shaft is more resistant to compression than the other devices. The Shiley LM is generally less flexible than the other devices, but this relationship varies with device size. Sealing performance of the devices was similar in a bench assay. The results of this bench study demonstrate that the new Shiley LM resembles other commercially available laryngeal mask devices, though it exhibits greater tensile strength and lower flexibility. Keywords: laryngeal mask, supraglottic airway, supralaryngeal device

  2. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  3. Validation of masks for determination of V̇O2 max in horses exercising at high intensity.

    Science.gov (United States)

    Sides, R H; Kirkpatrick, R; Renner, E; Gough, K; Katz, L M; Evans, D L; Bayly, W M

    2018-01-01

    The need for a horse to be ridden while wearing a measurement device that allows unrestricted ventilation and gas exchange has hampered accurate measurement of its maximal oxygen consumption (V̇O 2 max) under field conditions. Design and validate a facemask with the potential to measure V̇O 2 max accurately in the field. Experiment with 6 × 6 Latin square design. Two variations of a mask and associated electronic control module (ECM) were designed to enable breath-by-breath measurement of airflows through two 7.8 cm diameter pneumotachometers located 7.5 cm in front of each narus. The ECM was comprised of an analogue-to-digital converter and a lithium-ion battery that provided power and signal filtering to the pneumotachometers and an oxygen sensing cell, and powered a pump connected to gas sampling ports between the nares and pneumotachometers. Airflow and oxygen content of inspired and expired gases were recorded through the ECM and electronically transferred to a notebook. V̇O 2 was determined from these recordings using a customised software program. Mask B encased the lower jaw. Mask R left the jaw free so the horse could wear a bit if ridden. V̇O 2 max and arterial blood gases were measured in 6 horses during multiple treadmill tests. Each mask was worn twice and results compared to those from an established open flow-through system (O) by ANOVA-RM (Pmasks. V̇O 2 max measures were reproducible for each mask. Intraclass correlation coefficient between raters = 0.99. Some rebreathing of expired air from mask dead space. Masks capable of measuring V̇O 2 max during treadmill exercise were developed, tested and found to be accurate. Mask R has potential application to measurement of V̇O 2 max under field conditions. © 2017 EVJ Ltd.

  4. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    OpenAIRE

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively ev...

  5. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  6. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    Directory of Open Access Journals (Sweden)

    Jaime M Beecroft

    2006-01-01

    Full Text Available BACKGROUND: The OxyMask (Southmedic Inc, Canada is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA in patients with chronic hypoxemia.

  7. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  8. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  9. Contralateral tactile masking between forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-03-01

    Masking effects have been demonstrated in which tactile sensitivity is affected when one touch is close to another on the body surface. Such effects are likely a result of local lateral inhibitory circuits that sharpen the spatial tuning of a given tactile receptor. Mutually inhibitory pathways have also been demonstrated between cortical tactile maps of the two halves of the body. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at contralateral locations. Here, we measure the spatial tuning and effect of posture on this contralateral masking effect. Tactile sensitivity was measured on one forearm, while vibrotactile masking stimulation was applied to the opposite arm. Results were compared to sensitivity while vibrotactile stimulation was applied to a control site on the right shoulder. Sensitivity on the forearm was reduced by over 3 dB when the arms were touching and by 0.52 dB when they were held parallel. The masking effect depended on the position of the masking stimulus. Its effectiveness fell off by 1 STD when the stimulus was 29 % of arm length from the corresponding contralateral point. This long-range inhibitory effect in the tactile system suggests a surprisingly intimate relationship between the two sides of the body.

  10. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  11. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    Science.gov (United States)

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  12. Vibrotactile masking through the body.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-09-01

    Touches on one hand or forearm can affect tactile sensitivity at contralateral locations on the opposite side of the body. These interactions suggest an intimate connection between the two sides of the body. Here, we explore the effect of masking not across the body but through the body by measuring the effect of a masking stimulus on the back on the tactile sensitivity of the corresponding point on the front. Tactile sensitivity was measured on each side of the stomach, while vibrotactile masking stimulation was applied to one side of the front and to points on the back including the point directly behind the test point on the front. Results were compared to sensitivity, while vibrotactile stimulation was applied to a control site on the shoulder. A reduction in sensitivity of about .8 dB was found that required the masking stimulus to be within about 2 cm of the corresponding point on the back.

  13. 21 CFR 868.5560 - Gas mask head strap.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  14. Investigating neurophysiological correlates of metacontrast masking with magnetoencephalography

    Directory of Open Access Journals (Sweden)

    Jens Schwarzbach

    2006-01-01

    Full Text Available Early components of visual evoked potentials (VEP in EEG seem to be unaffected by target visibility in visual masking studies. Bridgeman's reanalysis of Jeffreys and Musselwhite's (1986 data suggests that a later visual component in the VEP, around 250 ms reflects the perceptual effect of masking. We challenge this view on the ground that temporal interactions between targets and masks unrelated to stimulus visibility could account for Bridgeman's observation of a U-shaped time course in VEP amplitudes for this later component. In an MEG experiment of metacontrast masking with variable stimulus onset asynchrony, we introduce a proper control, a pseudo mask. In contrast to an effective mask, the pseudomask should produce neither behavioral masking nor amplitude modulations of late VEPs. Our results show that effective masks produced a strong U-shaped perceptual effect of target visibility while performance remained virtually perfect when a pseudomask was used. The visual components around 250 ms after target onset did not show a distinction between mask and pseudomask conditions. The results indicate that these visual evoked potentials do not reveal neurophysiological correlates of stimulus visibility but rather reflect dynamic interactions between superimposed potentials elicited by stimuli in close temporal proximity. However, we observed a postperceptual component around 340 ms after target onset, located over temporal-parietal cortex, which shows a clear effect of visibility. Based on P300 ERP literature, this finding could indicate that working memory related processes contribute to metacontrast masking.

  15. Causal mechanisms of masked hypertension: socio-psychological aspects.

    Science.gov (United States)

    Ogedegbe, Gbenga

    2010-04-01

    The contribution of Dr Thomas Pickering's study to the measurement of blood pressure (BP) is the defining aspect of his academic career and achievement - narrowly defined. In this regard, two important areas characterized his study as it relates to masked hypertension. First, he introduced the term, masked hypertension, to replace the rather inappropriate term 'reverse white-coat hypertension' and 'white-coat normotension'; thus drawing attention to the fact that these patients are genuinely hypertensive by ambulatory BP but were missed by normal office BP. More importantly, he rightly maintained that masked hypertension is a true continuum of sustained hypertension rather than an aberrant measurement artifact. Second, is his pivotal study on the important role of psychosocial factors as a potential mechanism for the development of masked hypertension. In this regard, he explained masked hypertension as a conditioned response to anxiety in office settings, and highlighted the role that diagnostic labeling plays in its development. His view of masked hypertension is that of a continuum from prehypertension (based on office BP measurement) to masked hypertension (based on ambulatory BP) and finally to sustained hypertension (based on both office and ambulatory BP). He strongly believes that it is the prehypertensive patients who progress to masked hypertension. Subsequently, patients who are prehypertensive should be screened for masked hypertension and treated. In this manuscript, we summarize his study as it relates to the definition of masked hypertension, the psychosocial characteristics, mechanisms and its clinical relevance.

  16. Ergonomic evaluation of pilot oxygen mask designs

    NARCIS (Netherlands)

    Lee, W.; Yang, Xiaopeng; Jung, Daehan; Park, Seikwon; Kim, Heeeun; You, Heecheon

    2018-01-01

    A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure,

  17. 21 CFR 868.5550 - Anesthetic gas mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  18. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    Science.gov (United States)

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  19. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    Science.gov (United States)

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  20. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  1. A Masked Photocathode in a Photoinjector

    OpenAIRE

    Qiang, Ji

    2011-01-01

    In this paper, we propose a masked photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto an electrode, an electrode with small hole is used as a mask to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material easy by rotating the photocathode behind the electrode into the hole. Furthermore, this helps reduce the dark current or seconda...

  2. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    Science.gov (United States)

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  3. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  4. Eyes wide open: Pupil size as a proxy for inhibition in the masked-priming paradigm.

    Science.gov (United States)

    Geller, Jason; Still, Mary L; Morris, Alison L

    2016-05-01

    A core assumption underlying competitive-network models of word recognition is that in order for a word to be recognized, the representations of competing orthographically similar words must be inhibited. This inhibitory mechanism is revealed in the masked-priming lexical-decision task (LDT) when responses to orthographically similar word prime-target pairs are slower than orthographically different word prime-target pairs (i.e., inhibitory priming). In English, however, behavioral evidence for inhibitory priming has been mixed. In the present study, we utilized a physiological correlate of cognitive effort never before used in the masked-priming LDT, pupil size, to replicate and extend behavioral demonstrations of inhibitory effects (i.e., Nakayama, Sears, & Lupker, Journal of Experimental Psychology: Human Perception and Performance, 34, 1236-1260, 2008, Exp. 1). Previous research had suggested that pupil size is a reliable indicator of cognitive load, making it a promising index of lexical inhibition. Our pupillometric data replicated and extended previous behavioral findings, in that inhibition was obtained for orthographically similar word prime-target pairs. However, our response time data provided only a partial replication of Nakayama et al. Journal of Experimental Psychology: Human Perception and Performance, 34, 1236-1260, 2008. These results provide converging lines of evidence that inhibition operates in word recognition and that pupillometry is a useful addition to word recognition researchers' toolbox.

  5. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  6. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  7. Formulation, evaluation and 3(2) full factorial design-based optimization of ondansetron hydrochloride incorporated taste masked microspheres.

    Science.gov (United States)

    Kharb, Vandana; Saharan, Vikas Anand; Dev, Kapil; Jadhav, Hemant; Purohit, Suresh

    2014-11-01

    Masking the bitter taste of Ondansetron hydrochloride (ONS) may improve palatability, acceptance and compliance of ONS products. ONS-loaded, taste-masked microspheres were prepared with a polycationic pH-sensitive polymer and 3(2) full factorial design (FFD) was applied to optimize microsphere batches. Solvent evaporation, in acetone--methanol/liquid paraffin system, was used to prepare taste-masked ONS microspheres. The effect of varying drug/polymer (D/P) ratios on microspheres characteristics were studied by 3(2) FFD. Desirability function was used to search the optimum formulation. Microspheres were evaluated by FTIR, XRD and DSC to examine interaction and effect of microencapsulation process. In vitro taste assessment approach based on bitterness threshold and drug release was used to assess bitterness scores. Prepared ONS microspheres were spherical and surface was wrinkled. ONS was molecularly dispersed in microspheres without any incompatibility with EE100. In hydrochloric acid buffer pH 1.2, ONS released completely from microsphere in just 10 min. Contrary to this, ONS release at initial 5 min from taste-masked microspheres was less than the bitterness threshold. Full factorial design and in vitro taste assessment approach, coupled together, was successfully applied to develop and optimize batches of ONS incorporated taste-masked microspheres.

  8. Attentional capture by masked colour singletons.

    Science.gov (United States)

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  9. Effect of Ibuprofen on masking endodontic diagnosis.

    Science.gov (United States)

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  10. Fabless company mask technology approach: fabless but not fab-careless

    Science.gov (United States)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  11. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    Science.gov (United States)

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  12. Setting thresholds to varying blood pressure monitoring intervals differentially affects risk estimates associated with white-coat and masked hypertension in the population

    DEFF Research Database (Denmark)

    Asayama, Kei; Thijs, Lutgarde; Li, Yan

    2014-01-01

    Outcome-driven recommendations about time intervals during which ambulatory blood pressure should be measured to diagnose white-coat or masked hypertension are lacking. We cross-classified 8237 untreated participants (mean age, 50.7 years; 48.4% women) enrolled in 12 population studies, using ≥14...

  13. 42 CFR 84.117 - Gas mask containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas mask containers; minimum requirements. 84.117... SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.117 Gas mask containers; minimum requirements. (a) Gas masks shall be equipped with a substantial...

  14. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  15. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    Science.gov (United States)

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, Pmask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  16. Behind the mask. Journey through an epidemic: some observations of contrasting public health responses to SARS

    Science.gov (United States)

    Syed, Q; Sopwith, W; Regan, M; Bellis, M

    2003-01-01

    SARS has been called the first global epidemic of the 21st century and has been the cause of a massive and varied public health response in many countries of the world. This report describes observations made by two authors on a journey from Manchester in the United Kingdom to Chiang Mai in Thailand during the peak of global transmission. The public response to SARS, particularly characterised by the wearing of face masks, seemed to outstrip official guidance. Though of uncertain protective benefit, the wearing of masks may have contributed to the awareness of the collective and personal responsibility in combating infectious disease. Active and empowered involvement of the general public in implementing and cooperating with public health control measures supported by national and international authorities has clearly helped to bring SARS under control. The public health significance of such potent symbols as the face mask may be considered in strategies to tackle other emerging infections. PMID:14600109

  17. Regular Nanoscale Protein Patterns via Directed Adsorption through Self-Assembled DNA Origami Masks.

    Science.gov (United States)

    Ramakrishnan, Saminathan; Subramaniam, Sivaraman; Stewart, A Francis; Grundmeier, Guido; Keller, Adrian

    2016-11-16

    DNA origami has become a widely used method for synthesizing well-defined nanostructures with promising applications in various areas of nanotechnology, biophysics, and medicine. Recently, the possibility to transfer the shape of single DNA origami nanostructures into different materials via molecular lithography approaches has received growing interest due to the great structural control provided by the DNA origami technique. Here, we use ordered monolayers of DNA origami nanostructures with internal cavities on mica surfaces as molecular lithography masks for the fabrication of regular protein patterns over large surface areas. Exposure of the masked sample surface to negatively charged proteins results in the directed adsorption of the proteins onto the exposed surface areas in the holes of the mask. By controlling the buffer and adsorption conditions, the protein coverage of the exposed areas can be varied from single proteins to densely packed monolayers. To demonstrate the versatility of this approach, regular nanopatterns of four different proteins are fabricated: the single-strand annealing proteins Redβ and Sak, the iron-storage protein ferritin, and the blood protein bovine serum albumin (BSA). We furthermore demonstrate the desorption of the DNA origami mask after directed protein adsorption, which may enable the fabrication of hierarchical patterns composed of different protein species. Because selectivity in adsorption is achieved by electrostatic interactions between the proteins and the exposed surface areas, this approach may enable also the large-scale patterning of other charged molecular species or even nanoparticles.

  18. Analysis and test of laws for backward (metacontrast) masking

    NARCIS (Netherlands)

    Francis, G.; Rothmayer, M.; Hermens, F.

    2004-01-01

    In backward visual masking, it is common to find that the mask has its biggest effect when it follows the target by several tens of milliseconds. Research in the 1960s and 1970s suggested that masking effects were best characterized by the stimulus onset asynchrony (SOA) between the target and mask.

  19. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  20. Individual differences in metacontrast masking regarding sensitivity and response bias.

    Science.gov (United States)

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  1. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  2. An investigation into the efficiency of disposable face masks.

    Science.gov (United States)

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  3. Face mask ventilation--the dos and don'ts.

    Science.gov (United States)

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  4. Equity Considerations for Open Educational Resources in the Glocalization of Education

    Science.gov (United States)

    Willems, Julie; Bossu, Carina

    2012-01-01

    Open educational resources (OER) have become new buzzwords in the glocalization of education. While OER are often espoused as enabling educational equity, the reality is not always the case. Looking only at the positives of new educational methods can mask perpetuating challenges, which makes the open aspect of OER a misnomer. Taking an…

  5. Cost-effectiveness analysis of N95 respirators and medical masks to protect healthcare workers in China from respiratory infections.

    Science.gov (United States)

    Mukerji, Shohini; MacIntyre, C Raina; Seale, Holly; Wang, Quanyi; Yang, Peng; Wang, Xiaoli; Newall, Anthony T

    2017-07-03

    There are substantial differences between the costs of medical masks and N95 respirators. Cost-effectiveness analysis is required to assist decision-makers evaluating alternative healthcare worker (HCW) mask/respirator strategies. This study aims to compare the cost-effectiveness of N95 respirators and medical masks for protecting HCWs in Beijing, China. We developed a cost-effectiveness analysis model utilising efficacy and resource use data from two cluster randomised clinical trials assessing various mask/respirator strategies conducted in HCWs in Level 2 and 3 Beijing hospitals for the 2008-09 and 2009-10 influenza seasons. The main outcome measure was the incremental cost-effectiveness ratio (ICER) per clinical respiratory illness (CRI) case prevented. We used a societal perspective which included intervention costs, the healthcare costs of CRI in HCWs and absenteeism costs. The incremental cost to prevent a CRI case with continuous use of N95 respirators when compared to medical masks ranged from US $490-$1230 (approx. 3000-7600 RMB). One-way sensitivity analysis indicated that the CRI attack rate and intervention effectiveness had the greatest impact on cost-effectiveness. The determination of cost-effectiveness for mask/respirator strategies will depend on the willingness to pay to prevent a CRI case in a HCW, which will vary between countries. In the case of a highly pathogenic pandemic, respirator use in HCWs would likely be a cost-effective intervention.

  6. A respiratory mask for resting and exercising dogs.

    Science.gov (United States)

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  7. Communication masking in marine mammals: A review and research strategy.

    Science.gov (United States)

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  8. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  9. Review of economic evaluations of mask and respirator use for protection against respiratory infection transmission.

    Science.gov (United States)

    Mukerji, Shohini; MacIntyre, C Raina; Newall, Anthony T

    2015-10-13

    There has been increasing debate surrounding mask and respirator interventions to control respiratory infection transmission in both healthcare and community settings. As decision makers are considering the recommendations they should evaluate how to provide the most efficient protection strategies with minimum costs. The aim of this review is to identify and evaluate the existing economic evaluation literature in this area and to offer advice on how future evaluations on this topic should be conducted. We searched the Scopus database for all literature on economic evaluation of mask or respirator use to control respiratory infection transmission. Reference lists from the identified studies were also manually searched. Seven studies met our inclusion criteria from the initial 806 studies identified by the search strategy and our manual search. Five studies considered interventions for seasonal and/or pandemic influenza, with one also considering SARS (Severe Acute Respiratory Syndrome). The other two studies focussed on tuberculosis transmission control interventions. The settings and methodologies of the studies varied greatly. No low-middle income settings were identified. Only one of the reviewed studies cited clinical evidence to inform their mask/respirator intervention effectiveness parameters. Mask and respirator interventions were generally reported by the study authors to be cost saving or cost-effective when compared to no intervention or other control measures, however the evaluations had important limitations. Given the large cost differential between masks and respirators, there is a need for more comprehensive economic evaluations to compare the relative costs and benefits of these interventions in situations and settings where alternative options are potentially applicable. There are at present insufficient well conducted cost-effectiveness studies to inform decision-makers on the value for money of alternative mask/respirator options.

  10. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  11. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  12. Turbulence characteristics of flow in an open channel with temporally varying mobile bedforms

    Directory of Open Access Journals (Sweden)

    Hanmaiahgari Prashanth Reddy

    2017-03-01

    Full Text Available Turbulence of flow over mobile bedforms in natural open channels is not yet clearly understood. An attempt is made in this paper to determine the effect of naturally formed mobile bedforms on velocities, turbulent intensities and turbulent stresses. Instantaneous velocities are measured using a two-dimensional particle image velocimetry (PIV to evaluate the turbulence structure of free surface flow over a fixed (immobile bed, a weakly mobile bed and a temporally varying mobile bed with different stages of bedform development. This paper documents the vertical distribution of velocity, turbulence intensities, Reynolds shear stress and higher-order moments including skewness and turbulent diffusion factors. Analysis of the velocity distributions shows a substantial decrease of velocity near the bed with increasing bedform mobility due to increased friction. A modified logarithmic law with a reduced von Kármán constant and increased velocity shift is proposed for the case of the mobile bedforms. A significant increase in the Reynolds shear stress is observed in the mobile bedforms experiments accompanied by changes over the entire flow depth compared to an immobile bed. The skewness factor distribution was found to be different in the case of the flow over the mobile bedforms. All higher-order turbulence descriptors are found to be significantly affected by the formation of temporally varying and non-equilibrium mobile bedforms. Quadrant analysis indicates that sweep and outward events are found to be dominant in strongly mobile bedforms and govern the bedform mobility.

  13. The fastest saccadic responses escape visual masking

    DEFF Research Database (Denmark)

    Crouzet, Sébastien M.; Overgaard, Morten; Busch, Niko A.

    2014-01-01

    Object-substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. The reduction of target visibility occurring after OSM has been suggested to result from a specific interference with reentrant......, which gives access to very early stages of visual processing, target visibility was reduced either by OSM, conventional backward masking, or low stimulus contrast. A general reduction of performance was observed in all three conditions. However, the fastest saccades did not show any sign of interference...... under either OSM or backward masking, as they did under the low-contrast condition. This finding supports the hypothesis that masking interferes mostly with reentrant processing at later stages, while leaving early feedforward processing largely intact....

  14. Lensless digital holography with diffuse illumination through a pseudo-random phase mask.

    Science.gov (United States)

    Bernet, Stefan; Harm, Walter; Jesacher, Alexander; Ritsch-Marte, Monika

    2011-12-05

    Microscopic imaging with a setup consisting of a pseudo-random phase mask, and an open CMOS camera, without an imaging objective, is demonstrated. The pseudo random phase mask acts as a diffuser for an incoming laser beam, scattering a speckle pattern to a CMOS chip, which is recorded once as a reference. A sample which is afterwards inserted somewhere in the optical beam path changes the speckle pattern. A single (non-iterative) image processing step, comparing the modified speckle pattern with the previously recorded one, generates a sharp image of the sample. After a first calibration the method works in real-time and allows quantitative imaging of complex (amplitude and phase) samples in an extended three-dimensional volume. Since no lenses are used, the method is free from lens abberations. Compared to standard inline holography the diffuse sample illumination improves the axial sectioning capability by increasing the effective numerical aperture in the illumination path, and it suppresses the undesired so-called twin images. For demonstration, a high resolution spatial light modulator (SLM) is programmed to act as the pseudo-random phase mask. We show experimental results, imaging microscopic biological samples, e.g. insects, within an extended volume at a distance of 15 cm with a transverse and longitudinal resolution of about 60 μm and 400 μm, respectively.

  15. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  16. GLEBUS SAINCIUC’S PAPIER-MÂCHÉ PORTRAIT MASKS

    Directory of Open Access Journals (Sweden)

    MARIAN ANA

    2016-12-01

    Full Text Available The portrait masks created by Glebus Sainciuc (1919-2012 portray painters, sculptors, musicians, writers, actors, playwrights,filmmakers etc., and they are a proof of the fact that the individual contribution of these persons to the artistic development of our country has not been forgotten. Morphologically, the papier-mâché portrait masks of master Glebus Sainciuc are situated at the limit of painting, graphic drawing and sculpture; the masks were created by the master using the papier-mâché techniques. We should mention that the author’s predilection for the fauvist and naïve techniques in portrait representation, together with the style of his caricatures and small size drawings, infl uenced the caricatures style of his masks. The first portrait masks were created by Glebus Sainciuc in 1957, and by the end of his artistic activity his collection consisted of 350 masks – all depicting different persons, but all sharing the same portrait techniques and showing the inner charm of these persons.

  17. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    Science.gov (United States)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  18. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    Science.gov (United States)

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  19. 1995 mask industry quality assessment

    Science.gov (United States)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  20. Endogenous cueing attenuates object substitution masking.

    Science.gov (United States)

    Germeys, Filip; Pomianowska, I; De Graef, P; Zaenen, P; Verfaillie, K

    2010-07-01

    Object substitution masking (OSM) is a form of visual masking in which a briefly presented target surrounded by four small dots is masked by the continuing presence of the four dots after target offset. A major parameter in the prediction of OSM is the time required for attention to be directed to the target following its onset. Object substitution theory (Di Lollo et al. in J Exp Psychol Gen 129:481-507, 2000) predicts that the sooner attention can be focused at the target's location, the less masking will ensue. However, recently Luiga and Bachmann (Psychol Res 71:634-640, 2007) presented evidence that precueing of attention to the target location prior to target-plus-mask onset by means of a central (endogenous) arrow cue does not reduce OSM. When attention was cued exogenously, OSM was attenuated. Based on these results, Luiga and Bachmann argued that object substitution theory should be adapted by differentiating the ways of directing attention to the target location. The goal of the present study was to further examine the dissociation between the effects of endogenous and exogenous precueing on OSM. Contrary to Luiga and Bachmann, our results show that prior shifts of attention to the target location initiated by both exogenous and endogenous cues reduce OSM as predicted by object substitution theory and its computational model CMOS.

  1. A novel anti-influenza copper oxide containing respiratory face mask.

    Science.gov (United States)

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk of hand or environmental contamination, and thereby subsequent infection, due to improper handling and disposal of the masks.

  2. Development of movable mask system to cope with high beam current

    International Nuclear Information System (INIS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-01-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator

  3. Self-masking subtraction tomosynthesis

    International Nuclear Information System (INIS)

    Chakraborty, D.P.; Yester, M.V.; Barnes, G.T.; Lakshminarayanan, A.V.

    1984-01-01

    The authors tested the image quality and dose savings of self-masking subtraction tomosynthesis (SST), which combines digital tomosynthesis with subtraction of a blurred self-mask. High-quality images of the inner ear of a head phantom were obtained at moderate dose savings. Although they were taken with linear motion, they did not exhibit the streaking due to off-fulcrum objects that is characteristic of conventional linear tomography. SST could reduce patient dose by a factor of at least 12 in examinations of the inner ear, and the mechanical aspects can be implemented with moderate modifications of existing instrumentation

  4. Evaluation of Criteria to Detect Masked Hypertension

    Science.gov (United States)

    Booth, John N.; Muntner, Paul; Diaz, Keith M.; Viera, Anthony J.; Bello, Natalie A.; Schwartz, Joseph E.; Shimbo, Daichi

    2016-01-01

    The prevalence of masked hypertension, out-of-clinic daytime systolic/diastolic blood pressure (SBP/DBP)≥135/85 mmHg on ambulatory blood pressure monitoring (ABPM) among adults with clinic SBP/DBPABPM testing criterion. In a derivation cohort (n=695), the index was clinic SBP+1.3*clinic DBP. In an external validation cohort (n=675), the sensitivity for masked hypertension using an index ≥190 mmHg and ≥217 mmHg and prehypertension status was 98.5%, 71.5% and 82.5%, respectively. Using NHANES data (n=11,778), we estimated that these thresholds would refer 118.6, 44.4 and 59.3 million US adults, respectively, to ABPM screening for masked hypertension. In conclusion, the CBP index provides a useful approach to identify candidates for masked hypertension screening using ABPM. PMID:27126770

  5. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    Science.gov (United States)

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  6. [Patients' reaction to pharmacists wearing a mask during their consultations].

    Science.gov (United States)

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  7. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  8. The effect of masking in the attentional dwell time paradigm

    DEFF Research Database (Denmark)

    Petersen, Anders

    2009-01-01

    , 1994). In most studies of attentional dwell time, two masked targets have been used. Moore et al. (1996) have criticised the masking of the first target when measuring the attentional dwell time, finding a shorter attentional dwell time when the first mask was omitted. In the presented work, the effect...... of the first mask is further investigated by including a condition where the first mask is presented without a target. The results from individual subjects show that the findings of Moore et al. can be replicated. The results also suggest that presenting the first mask without a target is enough to produce...... an impairment of the second target. Hence, the attentional dwell time may be a combined effect arising from attending to both the first target and its mask....

  9. Counteracting Power Analysis Attacks by Masking

    Science.gov (United States)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  10. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  11. A conceptual approach to the masking effect of measures of disproportionality.

    Science.gov (United States)

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  12. X-ray face mask and chest shield device

    International Nuclear Information System (INIS)

    Moti, S.

    1981-01-01

    A protective face mask is designed to shield an x-ray technician or machine operator primarily from random secondary or scatter x-rays deflected towards his face, head and neck by the table, walls, equipment and other reflecting elements in an x-ray room or chamber. The face mask and chest shield device can be mounted on a patient's shoulders in reverse attitude to protect the back of a patient's head and neck from the x-ray beam. The face mask is relatively or substantially transparent and contains lead in combination with a plastic ionomer or comonomer, which to a degree absorbs or resists penetration of the random deflected secondary or scatter x-rays or the x-ray beam through the mask. The face mask is removably attachable to the chest shield for easy application of the device to and support upon the shoulders of the technician or the patient. (author)

  13. Random mask optimization for fast neutron coded aperture imaging

    Energy Technology Data Exchange (ETDEWEB)

    McMillan, Kyle [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Univ. of California, Los Angeles, CA (United States); Marleau, Peter [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Brubaker, Erik [Sandia National Lab. (SNL-CA), Livermore, CA (United States)

    2015-05-01

    In coded aperture imaging, one of the most important factors determining the quality of reconstructed images is the choice of mask/aperture pattern. In many applications, uniformly redundant arrays (URAs) are widely accepted as the optimal mask pattern. Under ideal conditions, thin and highly opaque masks, URA patterns are mathematically constructed to provide artifact-free reconstruction however, the number of URAs for a chosen number of mask elements is limited and when highly penetrating particles such as fast neutrons and high-energy gamma-rays are being imaged, the optimum is seldom achieved. In this case more robust mask patterns that provide better reconstructed image quality may exist. Through the use of heuristic optimization methods and maximum likelihood expectation maximization (MLEM) image reconstruction, we show that for both point and extended neutron sources a random mask pattern can be optimized to provide better image quality than that of a URA.

  14. Perception of Scary Halloween Masks by Zoo Animals and Humans

    OpenAIRE

    Sinnott, Joan M.; Speaker, H. Anton; Powell, Laura A.; Mosteller, Kelly W.

    2012-01-01

    Zoo animals were tested to see if they perceived the scary nature of Halloween masks, using a procedure that measured the avoidance response latency to take food from a masked human experimenter. Human perception of the masks was also assessed using a rating scale, with results showing that a Bill Clinton mask was rated not scary, while a Vampire mask was rated very scary. Animal results showed that primate latencies correlated significantly with the human ratings, while non-primate latencies...

  15. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  16. Contact printed masks for 3D microfabrication in negative resists

    DEFF Research Database (Denmark)

    Häfliger, Daniel; Boisen, Anja

    2005-01-01

    We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...

  17. Masking interrupts figure-ground signals in V1.

    Science.gov (United States)

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  18. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  19. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  20. Active mask segmentation of fluorescence microscope images.

    Science.gov (United States)

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  1. Dead space variability of face masks for valved holding chambers.

    Science.gov (United States)

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  2. A novel anti-influenza copper oxide containing respiratory face mask.

    Directory of Open Access Journals (Sweden)

    Gadi Borkow

    Full Text Available BACKGROUND: Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10TCID(50 of human influenza A virus (H1N1 and avian influenza virus (H9N2, respectively, under simulated breathing conditions (28.3 L/min. Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10TCID(50, while 4.67+/-1.35 log(10TCID(50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10TCID(50 and from the control masks 5.03+/-0.54 log(10TCID(50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. CONCLUSIONS/SIGNIFICANCE: Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical

  3. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  4. Airflow-Restricting Mask Reduces Acute Performance in Resistance Exercise

    Directory of Open Access Journals (Sweden)

    Yuri L. Motoyama

    2016-09-01

    Full Text Available Background: The aim of this study was to compare the number of repetitions to volitional failure, the blood lactate concentration, and the perceived exertion to resistance training with and without an airflow-restricting mask. Methods: Eight participants participated in a randomized, counterbalanced, crossover study. Participants were assigned to an airflow-restricting mask group (MASK or a control group (CONT and completed five sets of chest presses and parallel squats until failure at 75% one-repetition-maximum test (1RM with 60 s of rest between sets. Ratings of perceived exertion (RPEs, blood lactate concentrations (Lac−, and total repetitions were taken after the training session. Results: MASK total repetitions were lower than those of the CONT, and (Lac− and MASK RPEs were higher than those of the CONT in both exercises. Conclusions: We conclude that an airflow-restricting mask in combination with resistance training increase perceptions of exertion and decrease muscular performance and lactate concentrations when compared to resistance training without this accessory. This evidence shows that the airflow-restricting mask may change the central nervous system and stop the exercise beforehand to prevent some biological damage.

  5. Masked priming for the comparative evaluation of camouflage conspicuity.

    Science.gov (United States)

    Brunyé, Tad T; Eddy, Marianna D; Cain, Matthew S; Hepfinger, Lisa B; Rock, Kathryn

    2017-07-01

    Human observer test and evaluation of camouflage patterns is critical for understanding relative pattern conspicuity against a range of background scenes. However, very few validated methodologies exist for this purpose, and those that do carry several limitations. Five experiments examined whether masked priming with a dot probe could be used to reliably differentiate camouflage patterns. In each experiment, participants were primed with a camouflaged target appearing on the left or right of the screen, and then made a speeded response to a dot probe appearing on the same (congruent) or different (incongruent) side. Across experiments we parametrically varied prime duration between 35, 42, 49, 56, and 63 ms. Results demonstrated that as prime duration increased, a response time disadvantage for incongruent trials emerged with certain camouflage patterns. Interestingly, the most conspicuous patterns showed behavioral differences at a relatively brief (49 ms) prime duration, whereas behavioral differences were only found at longer prime durations for less conspicuous patterns; this overall results pattern matched that predicted by a visual salience model. Together, we demonstrate the viability of masked priming for the test and evaluation of camouflage patterns, and correlated outcomes for saliency models and primed object processing. Published by Elsevier Ltd.

  6. Comodulation masking release in bit-rate reduction systems

    DEFF Research Database (Denmark)

    Vestergaard, Martin David; Rasmussen, Karsten Bo; Poulsen, Torben

    1999-01-01

    It has been suggested that the level dependence of the upper masking slope be utilized in perceptual models in bit-rate reduction systems. However, comodulation masking release (CMR) phenomena lead to a reduction of the masking effect when a masker and a probe signal are amplitude modulated...... with the same frequency. In bit-rate reduction systems the masker would be the audio signal and the probe signal would represent the quantization noise. Masking curves have been determined for sinusoids and 1-Bark-wide noise maskers in order to investigate the risk of CMR, when quantizing depths are fixed...... in accordance with psycho-acoustical principles. Masker frequencies of 500 Hz, 1 kHz, and 2 kHz have been investigated, and the masking of pure tone probes has been determined in the first four 1/3 octaves above the masker. Modulation frequencies between 6 and 20 Hz were used with a modulation depth of 0...

  7. Electrostatic mask for active targets

    International Nuclear Information System (INIS)

    Pancin, J; Gangnant, P; Libin, J-F; Raabe, R; Roger, T; Roussel-Chomaz, P; Gibelin, J; Goth, M

    2012-01-01

    Active gas targets have been used in nuclear physics since 30 years. They are promising systems in view of the new exotic beams soon available at facilities like SPIRAL2 or FAIR, but the system can still be improved. One of the main limitation is the dynamic range in energy deposition. The energy deposited per unit length can be 3 decades higher for the beam than for the light reaction products and the risk to saturate the electronics or that the detector spark are not negligible. A simple solution using a wire plane to mask partially the beam is presented here. Some simulation has been realized and some experimental results are shown confirming the feasibility of this wire tunable mask. The mask can be used from full transparency to full opacity without degrading neither the drift electric field of the chamber nor the performances of detection of the beam or the light products.

  8. X-ray face mask and bib device

    International Nuclear Information System (INIS)

    Forshee, D.J.

    1982-01-01

    An x-ray protective face mask is made of a relatively transparent lead containing radiation shielding plastics material, and is removably attachable to a chest or bib shield for application of the device to and support upon the shoulders of a technician or a patient. Alternatively, the face mask is formed of a lens portion supported in a plastics frame, upon the lower portion of which is removably attached a bib shield that in turn is removably attachable to an apron. The frame of the face mask, bib shield and apron are preferably lined with lead sheets to protect the neck, face, chest and body of the technician from the random secondary or scatter x-ray beams. The face mask and bib shield can be formed of a moulded plastics material as a unitary device, the lens portion being attached to the frame therefor. (author)

  9. Mask manufacturing improvement through capability definition and bottleneck line management

    Science.gov (United States)

    Strott, Al

    1994-02-01

    In 1989, Intel's internal mask operation limited itself to research and development activities and re-inspection and pellicle application of externally manufactured masks. Recognizing the rising capital cost of mask manufacturing at the leading edge, Intel's Mask Operation management decided to offset some of these costs by manufacturing more masks internally. This was the beginning of the challenge they set to manufacture at least 50% of Intel's mask volume internally, at world class performance levels. The first step in responding to this challenge was the completion of a comprehensive operation capability analysis. A series of bottleneck improvements by focus teams resulted in an average cycle time improvement to less than five days on all product and less than two days on critical products.

  10. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  11. Maximizing noise energy for noise-masking studies.

    Science.gov (United States)

    Jules Étienne, Cédric; Arleo, Angelo; Allard, Rémy

    2017-08-01

    Noise-masking experiments are widely used to investigate visual functions. To be useful, noise generally needs to be strong enough to noticeably impair performance, but under some conditions, noise does not impair performance even when its contrast approaches the maximal displayable limit of 100 %. To extend the usefulness of noise-masking paradigms over a wider range of conditions, the present study developed a noise with great masking strength. There are two typical ways of increasing masking strength without exceeding the limited contrast range: use binary noise instead of Gaussian noise or filter out frequencies that are not relevant to the task (i.e., which can be removed without affecting performance). The present study combined these two approaches to further increase masking strength. We show that binarizing the noise after the filtering process substantially increases the energy at frequencies within the pass-band of the filter given equated total contrast ranges. A validation experiment showed that similar performances were obtained using binarized-filtered noise and filtered noise (given equated noise energy at the frequencies within the pass-band) suggesting that the binarization operation, which substantially reduced the contrast range, had no significant impact on performance. We conclude that binarized-filtered noise (and more generally, truncated-filtered noise) can substantially increase the energy of the noise at frequencies within the pass-band. Thus, given a limited contrast range, binarized-filtered noise can display higher energy levels than Gaussian noise and thereby widen the range of conditions over which noise-masking paradigms can be useful.

  12. Awareness Becomes Necessary Between Adaptive Pattern Coding of Open and Closed Curvatures

    Science.gov (United States)

    Sweeny, Timothy D.; Grabowecky, Marcia; Suzuki, Satoru

    2012-01-01

    Visual pattern processing becomes increasingly complex along the ventral pathway, from the low-level coding of local orientation in the primary visual cortex to the high-level coding of face identity in temporal visual areas. Previous research using pattern aftereffects as a psychophysical tool to measure activation of adaptive feature coding has suggested that awareness is relatively unimportant for the coding of orientation, but awareness is crucial for the coding of face identity. We investigated where along the ventral visual pathway awareness becomes crucial for pattern coding. Monoptic masking, which interferes with neural spiking activity in low-level processing while preserving awareness of the adaptor, eliminated open-curvature aftereffects but preserved closed-curvature aftereffects. In contrast, dichoptic masking, which spares spiking activity in low-level processing while wiping out awareness, preserved open-curvature aftereffects but eliminated closed-curvature aftereffects. This double dissociation suggests that adaptive coding of open and closed curvatures straddles the divide between weakly and strongly awareness-dependent pattern coding. PMID:21690314

  13. Estimation of the Ideal Binary Mask using Directional Systems

    DEFF Research Database (Denmark)

    Boldt, Jesper; Kjems, Ulrik; Pedersen, Michael Syskind

    2008-01-01

    The ideal binary mask is often seen as a goal for time-frequency masking algorithms trying to increase speech intelligibility, but the required availability of the unmixed signals makes it difficult to calculate the ideal binary mask in any real-life applications. In this paper we derive the theory...... and the requirements to enable calculations of the ideal binary mask using a directional system without the availability of the unmixed signals. The proposed method has a low complexity and is verified using computer simulation in both ideal and non-ideal setups showing promising results....

  14. A procedure and program to calculate shuttle mask advantage

    Science.gov (United States)

    Balasinski, A.; Cetin, J.; Kahng, A.; Xu, X.

    2006-10-01

    A well-known recipe for reducing mask cost component in product development is to place non-redundant elements of layout databases related to multiple products on one reticle plate [1,2]. Such reticles are known as multi-product, multi-layer, or, in general, multi-IP masks. The composition of the mask set should minimize not only the layout placement cost, but also the cost of the manufacturing process, design flow setup, and product design and introduction to market. An important factor is the quality check which should be expeditious and enable thorough visual verification to avoid costly modifications once the data is transferred to the mask shop. In this work, in order to enable the layer placement and quality check procedure, we proposed an algorithm where mask layers are first lined up according to the price and field tone [3]. Then, depending on the product die size, expected fab throughput, and scribeline requirements, the subsequent product layers are placed on the masks with different grades. The actual reduction of this concept to practice allowed us to understand the tradeoffs between the automation of layer placement and setup related constraints. For example, the limited options of the numbers of layer per plate dictated by the die size and other design feedback, made us consider layer pairing based not only on the final price of the mask set, but also on the cost of mask design and fab-friendliness. We showed that it may be advantageous to introduce manual layer pairing to ensure that, e.g., all interconnect layers would be placed on the same plate, allowing for easy and simultaneous design fixes. Another enhancement was to allow some flexibility in mixing and matching of the layers such that non-critical ones requiring low mask grade would be placed in a less restrictive way, to reduce the count of orphan layers. In summary, we created a program to automatically propose and visualize shuttle mask architecture for design verification, with

  15. Green binary and phase shifting mask

    Science.gov (United States)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  16. Software error masking effect on hardware faults

    International Nuclear Information System (INIS)

    Choi, Jong Gyun; Seong, Poong Hyun

    1999-01-01

    Based on the Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL), in this work, a simulation model for fault injection is developed to estimate the dependability of the digital system in operational phase. We investigated the software masking effect on hardware faults through the single bit-flip and stuck-at-x fault injection into the internal registers of the processor and memory cells. The fault location reaches all registers and memory cells. Fault distribution over locations is randomly chosen based on a uniform probability distribution. Using this model, we have predicted the reliability and masking effect of an application software in a digital system-Interposing Logic System (ILS) in a nuclear power plant. We have considered four the software operational profiles. From the results it was found that the software masking effect on hardware faults should be properly considered for predicting the system dependability accurately in operation phase. It is because the masking effect was formed to have different values according to the operational profile

  17. Selective spatial attention modulates bottom-up informational masking of speech

    OpenAIRE

    Carlile, Simon; Corkhill, Caitlin

    2015-01-01

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20?dB improvement in speec...

  18. Comparison of monaural (CMR) and binaural (BMLD) masking release

    NARCIS (Netherlands)

    Par, van de S.L.J.D.E.; Kohlrausch, A.G.

    1998-01-01

    Release of masking for a sinusoidal signal of 5 kHz masked by a 25-Hz-wide noise band centered around 5 kHz was measured. The masking release was provided by a second noise band that was comodulated with the on-frequency masker band. For CMR configurations the second noise band was centered at 3 kHz

  19. Bubble masks for time-encoded imaging of fast neutrons.

    Energy Technology Data Exchange (ETDEWEB)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter; Nowack, Aaron B.; Steele, John T.; Sweany, Melinda; Throckmorton, Daniel J.

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixed blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.

  20. Wavelet-Smoothed Interpolation of Masked Scientific Data for JPEG 2000 Compression

    Energy Technology Data Exchange (ETDEWEB)

    Brislawn, Christopher M. [Los Alamos National Laboratory

    2012-08-13

    How should we manage scientific data with 'holes'? Some applications, like JPEG 2000, expect logically rectangular data, but some sources, like the Parallel Ocean Program (POP), generate data that isn't defined on certain subsets. We refer to grid points that lack well-defined, scientifically meaningful sample values as 'masked' samples. Wavelet-smoothing is a highly scalable interpolation scheme for regions with complex boundaries on logically rectangular grids. Computation is based on forward/inverse discrete wavelet transforms, so runtime complexity and memory scale linearly with respect to sample count. Efficient state-of-the-art minimal realizations yield small constants (O(10)) for arithmetic complexity scaling, and in-situ implementation techniques make optimal use of memory. Implementation in two dimensions using tensor product filter banks is straighsorward and should generalize routinely to higher dimensions. No hand-tuning required when the interpolation mask changes, making the method aeractive for problems with time-varying masks. Well-suited for interpolating undefined samples prior to JPEG 2000 encoding. The method outperforms global mean interpolation, as judged by both SNR rate-distortion performance and low-rate artifact mitigation, for data distributions whose histograms do not take the form of sharply peaked, symmetric, unimodal probability density functions. These performance advantages can hold even for data whose distribution differs only moderately from the peaked unimodal case, as demonstrated by POP salinity data. The interpolation method is very general and is not tied to any particular class of applications, could be used for more generic smooth interpolation.

  1. Selective spatial attention modulates bottom-up informational masking of speech.

    Science.gov (United States)

    Carlile, Simon; Corkhill, Caitlin

    2015-03-02

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention.

  2. Masking effects of speech and music: does the masker's hierarchical structure matter?

    Science.gov (United States)

    Shi, Lu-Feng; Law, Yvonne

    2010-04-01

    Speech and music are time-varying signals organized by parallel hierarchical rules. Through a series of four experiments, this study compared the masking effects of single-talker speech and instrumental music on speech perception while manipulating the complexity of hierarchical and temporal structures of the maskers. Listeners' word recognition was found to be similar between hierarchically intact and disrupted speech or classical music maskers (Experiment 1). When sentences served as the signal, significantly greater masking effects were observed with disrupted than intact speech or classical music maskers (Experiment 2), although not with jazz or serial music maskers, which differed from the classical music masker in their hierarchical structures (Experiment 3). Removing the classical music masker's temporal dynamics or partially restoring it affected listeners' sentence recognition; yet, differences in performance between intact and disrupted maskers remained robust (Experiment 4). Hence, the effect of structural expectancy was largely present across maskers when comparing them before and after their hierarchical structure was purposefully disrupted. This effect seemed to lend support to the auditory stream segregation theory.

  3. The time-course of visual masking effects on saccadic responses indicates that masking interferes with reentrant processing

    DEFF Research Database (Denmark)

    Crouzet, S.; Pin, Simon Hviid Del; Overgaard, Morten

    2013-01-01

    Object substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. Here, we tested the widespread assumption that OSM selectively impairs reentrant processing. If OSM interferes selectively...... with reentrant processing, then the first feedforward sweep should be left relatively intact. Using a standard OSM paradigm in combination with a saccadic choice task, giving access to an early phase of visual processing (the fastest saccades occurring only 100 ms after target onset), we compared the masking....... Interestingly, the same result was observed using backward masking. In a follow-up experiment, where we assessed observer’s visual awareness using single-trial visibility ratings, we demonstrated that these ultra-fast responses were actually linked to subsequent reported visibility. Taken together...

  4. The efficacy of three different mask styles on a PAP titration night.

    Science.gov (United States)

    Ebben, Matthew R; Oyegbile, Temitayo; Pollak, Charles P

    2012-06-01

    This study compared the efficacy of three different masks, nasal pillows, nasal masks and full face (oronasal) masks, during a single night of titration with continuous positive airway pressure (CPAP). Fifty five subjects that included men (n=33) and women (n=22) were randomly assigned to one of three masks and underwent a routine titration with incremental CPAP applied through the different masks. CPAP applied through the nasal pillows and nasal mask was equally effective in treating mild, moderate, and severe sleep apnea. However, CPAP applied through the oronasal mask required a significantly higher pressure compared to nasal masks to treat moderately severe (2.8 cm of H(2)O ± 2.1 SD) and severe (6.0 cm of H(2)O ± 3.2 SD) obstructive sleep apnea. CPAP applied with either nasal mask was effective in treating mild, moderate, and severe sleep apnea. The oronasal mask required significantly higher pressures in subjects with moderate to severe disease. Therefore, when changing from a nasal to an oronasal mask, a repeat titration is required to ensure effective treatment of sleep apnea, especially in patients with moderate to severe disease. Copyright © 2012 Elsevier B.V. All rights reserved.

  5. Laser micromachined wax-covered plastic paper as both sputter deposition shadow masks and deep-ultraviolet patterning masks for polymethylmethacrylate-based microfluidic systems

    KAUST Repository

    Fan, Yiqiang

    2013-12-16

    We report a technically innovative method of fabricating masks for both deep-ultraviolet (UV) patterning and metal sputtering on polymethylmethacrylate (PMMA) for microfluidic systems. We used a CO2 laser system to cut the required patterns on wax-covered plastic paper; the laser-patterned wax paper will either work as a mask for deep-UV patterning or as a mask for metal sputtering. A microfluidic device was also fabricated to demonstrate the feasibility of this method. The device has two layers: the first layer is a 1-mm thick PMMA substrate that was patterned by deep-UV exposure to create microchannels. The mask used in this process was the laser-cut wax paper. The second layer, also a 1-mm thick PMMA layer, was gold sputtered with patterned wax paper as the shadow mask. These two pieces of PMMA were then bonded to form microchannels with exposed electrodes. This process is a simple and rapid method for creating integrated microfluidic systems that do not require cleanroom facilities.

  6. Testing Tactile Masking between the Forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-10

    Masking, in which one stimulus affects the detection of another, is a classic technique that has been used in visual, auditory, and tactile research, usually using stimuli that are close together to reveal local interactions. Masking effects have also been demonstrated in which a tactile stimulus alters the perception of a touch at a distant location. Such effects can provide insight into how components of the body's representations in the brain may be linked. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at corresponding contralateral locations. To explore the matching of corresponding points across the body, we can measure the spatial tuning and effect of posture on contralateral masking. Careful controls are required to rule out direct effects of the remote stimulus, for example by mechanical transmission, and also attention effects in which thresholds may be altered by the participant's attention being drawn away from the stimulus of interest. The use of this technique is beneficial as a behavioural measure for exploring which parts of the body are functionally connected and whether the two sides of the body interact in a somatotopic representation. This manuscript describes a behavioural protocol that can be used for studying contralateral tactile masking.

  7. Mask effects on cosmological studies with weak-lensing peak statistics

    International Nuclear Information System (INIS)

    Liu, Xiangkun; Pan, Chuzhong; Fan, Zuhui; Wang, Qiao

    2014-01-01

    With numerical simulations, we analyze in detail how the bad data removal, i.e., the mask effect, can influence the peak statistics of the weak-lensing convergence field reconstructed from the shear measurement of background galaxies. It is found that high peak fractions are systematically enhanced because of the presence of masks; the larger the masked area is, the higher the enhancement is. In the case where the total masked area is about 13% of the survey area, the fraction of peaks with signal-to-noise ratio ν ≥ 3 is ∼11% of the total number of peaks, compared with ∼7% of the mask-free case in our considered cosmological model. This can have significant effects on cosmological studies with weak-lensing convergence peak statistics, inducing a large bias in the parameter constraints if the effects are not taken into account properly. Even for a survey area of 9 deg 2 , the bias in (Ω m , σ 8 ) is already intolerably large and close to 3σ. It is noted that most of the affected peaks are close to the masked regions. Therefore, excluding peaks in those regions in the peak statistics can reduce the bias effect but at the expense of losing usable survey areas. Further investigations find that the enhancement of the number of high peaks around the masked regions can be largely attributed to the smaller number of galaxies usable in the weak-lensing convergence reconstruction, leading to higher noise than that of the areas away from the masks. We thus develop a model in which we exclude only those very large masks with radius larger than 3' but keep all the other masked regions in peak counting statistics. For the remaining part, we treat the areas close to and away from the masked regions separately with different noise levels. It is shown that this two-noise-level model can account for the mask effect on peak statistics very well, and the bias in cosmological parameters is significantly reduced if this model is applied in the parameter fitting.

  8. Masking with faces in central visual field under a variety of temporal schedules.

    Science.gov (United States)

    Daar, Marwan; Wilson, Hugh R

    2015-11-01

    With a few exceptions, previous studies have explored masking using either a backward mask or a common onset trailing mask, but not both. In a series of experiments, we demonstrate the use of faces in central visual field as a viable method to study the relationship between these two types of mask schedule. We tested observers in a two alternative forced choice face identification task, where both target and mask comprised synthetic faces, and show that a simple model can successfully predict masking across a variety of masking schedules ranging from a backward mask to a common onset trailing mask and a number of intermediate variations. Our data are well accounted for by a window of sensitivity to mask interference that is centered at around 100 ms. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Hyper-realistic face masks: a new challenge in person identification.

    Science.gov (United States)

    Sanders, Jet Gabrielle; Ueda, Yoshiyuki; Minemoto, Kazusa; Noyes, Eilidh; Yoshikawa, Sakiko; Jenkins, Rob

    2017-01-01

    We often identify people using face images. This is true in occupational settings such as passport control as well as in everyday social environments. Mapping between images and identities assumes that facial appearance is stable within certain bounds. For example, a person's apparent age, gender and ethnicity change slowly, if at all. It also assumes that deliberate changes beyond these bounds (i.e., disguises) would be easy to spot. Hyper-realistic face masks overturn these assumptions by allowing the wearer to look like an entirely different person. If unnoticed, these masks break the link between facial appearance and personal identity, with clear implications for applied face recognition. However, to date, no one has assessed the realism of these masks, or specified conditions under which they may be accepted as real faces. Herein, we examined incidental detection of unexpected but attended hyper-realistic masks in both photographic and live presentations. Experiment 1 (UK; n = 60) revealed no evidence for overt detection of hyper-realistic masks among real face photos, and little evidence of covert detection. Experiment 2 (Japan; n = 60) extended these findings to different masks, mask-wearers and participant pools. In Experiment 3 (UK and Japan; n = 407), passers-by failed to notice that a live confederate was wearing a hyper-realistic mask and showed limited evidence of covert detection, even at close viewing distance (5 vs. 20 m). Across all of these studies, viewers accepted hyper-realistic masks as real faces. Specific countermeasures will be required if detection rates are to be improved.

  10. Barriers to mask wearing for influenza-like illnesses among urban Hispanic households.

    Science.gov (United States)

    Ferng, Yu-hui; Wong-McLoughlin, Jennifer; Barrett, Angela; Currie, Leanne; Larson, Elaine

    2011-01-01

    To identify barriers to mask wearing and to examine the factors associated with the willingness to wear masks among households. We used data sources from a study assessing the impact of 3 nonpharmaceutical interventions on the rates of influenza: exit interviews; home visits with a subset of the mask group; and a focus group. Risk perception score, univariate analysis, and logistic regression were conducted to identify the characteristics and predictors of mask use. Thematic barriers to mask wearing were identified from qualitative data obtained at home visits and focus group. Respondents from the mask group, when compared with the nonmask group, demonstrated higher risk perception scores concerning influenza (maximum score: 60, means: 37.6 and 30.2, pmask wearing (maximum score: 10, means: 7.8 and 7.3, p=.043). There was no significant association between demographic, attitudinal, or knowledge variables and adherence to wearing masks. Thematic barriers were identified such as social acceptability of mask use, comfort and fit, and perception of the risk/need for masks. Face masks may not be an effective intervention for seasonal or pandemic influenza unless the risk perception of influenza is high. Dissemination of culturally appropriate mask use information by health authorities and providers must be emphasized when educating the public. © 2010 Wiley Periodicals, Inc.

  11. Advantages, Disadvantages, Indications, Contraindications and Surgical Technique of Laryngeal Airway Mask.

    Science.gov (United States)

    Jannu, Anubhav; Shekar, Ashim; Balakrishna, Ramdas; Sudarshan, H; Veena, G C; Bhuvaneshwari, S

    2017-12-01

    The beauty of the laryngeal mask is that it forms an air tight seal enclosing the larynx rather than plugging the pharynx, and avoid airway obstruction in the oropharynx. The goal of its development was to create an intermediate form of airway management face mask and endotracheal tube. Indication for its use includes any procedure that would normally involve the use of a face mask. The laryngeal mask airway was designed as a new concept in airway management and has been gaining a firm position in anesthetic practice. Despite wide spread use the definitive role of the laryngeal mask airway is yet to be established. In some situations, such as after failed tracheal intubation or in oral surgery its use is controversial. There are several unresolved issues, for example the effect of the laryngeal mask on regurgitation and whether or not cricoids pressure prevents placement of mask. We review the techniques of insertion, details of misplacement, and complications associated with use of the laryngeal mask. We then attempt to clarify the role of laryngeal mask in air way management during anesthesia, discussing the advantages and disadvantages as well as indications and contraindications of its use in oral and maxillofacial surgery.

  12. Automatic circuit analysis based on mask information

    International Nuclear Information System (INIS)

    Preas, B.T.; Lindsay, B.W.; Gwyn, C.W.

    1976-01-01

    The Circuit Mask Translator (CMAT) code has been developed which converts integrated circuit mask information into a circuit schematic. Logical operations, pattern recognition, and special functions are used to identify and interconnect diodes, transistors, capacitors, and resistances. The circuit topology provided by the translator is compatible with the input required for a circuit analysis program

  13. Design criteria for small coded aperture masks in gamma-ray astronomy

    International Nuclear Information System (INIS)

    Sembay, S.; Gehrels, N.

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy γ-ray astronomy has concentrated on masks with large numbers of elements. For γ-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyse by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. In this paper we develop a particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns. We then present the results of such a computer analysis for masks up to dimension 5x5 unit cell and conclude that there is a great deal of flexibility in one's choice of mask pattern for each dimension. (orig.)

  14. Mechanically and electrically robust metal-mask design for organic CMOS circuits

    Science.gov (United States)

    Shintani, Michihiro; Qin, Zhaoxing; Kuribara, Kazunori; Ogasahara, Yasuhiro; Hiromoto, Masayuki; Sato, Takashi

    2018-04-01

    The design of metal masks for fabricating organic CMOS circuits requires the consideration of not only the electrical property of the circuits, but also the mechanical strength of the masks. In this paper, we propose a new design flow for metal masks that realizes coanalysis of the mechanical and electrical properties and enables design exploration considering the trade-off between the two properties. As a case study, we apply a “stitching technique” to the mask design of a ring oscillator and explore the best design. With this technique, mask patterns are divided into separate parts using multiple mask layers to improve the mechanical strength at the cost of high resistance of the vias. By a numerical experiment, the design trade-off of the stitching technique is quantitatively analyzed, and it is demonstrated that the proposed flow is useful for the exploration of the designs of metal masks.

  15. Grayscale lithography-automated mask generation for complex three-dimensional topography

    Science.gov (United States)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  16. My Other Half Manifested in Mask-Making

    Science.gov (United States)

    Abel, Xanthippi

    2010-01-01

    Every fall season, each grade level of Rowland Hall St. Mark's Lower School in Salt Lake City, Utah, completes a mask-making project to be featured in a schoolwide parade. This sparked an opportunity to incorporate the fourth-grade unit of realistic and observational drawing with mask making. In this article, the author describes how her students…

  17. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  18. Masked hypertension: evidence of the need to treat

    NARCIS (Netherlands)

    Ogedegbe, Gbenga; Agyemang, Charles; Ravenell, Joseph E.

    2010-01-01

    The diagnosis of masked hypertension has been made easier with the widespread availability of home blood pressure monitoring devices with levels of accuracy comparable to ambulatory blood pressure monitoring. The negative impact of masked hypertension on cardiovascular morbidity and mortality is

  19. Beyond a Mask and Against the Bottleneck: Retroactive Dual-Task Interference During Working Memory Consolidation of a Masked Visual Target

    NARCIS (Netherlands)

    Nieuwenstein, Mark; Wyble, Brad

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result,

  20. A Particle-In-Cell approach to particle flux shaping with a surface mask

    Directory of Open Access Journals (Sweden)

    G. Kawamura

    2017-08-01

    Full Text Available The Particle-In-Cell simulation code PICS has been developed to study plasma in front of a surface with two types of masks, step-type and roof-type. Parameter scans with regard to magnetic field angle, electron density, and mask height were carried out to understand their influence on ion particle flux distribution on a surface. A roof-type mask with a small mask height yields short decay length in the flux distribution which is consistent with that estimated experimentally. A roof-type mask with a large height yields very long decay length and the flux value does not depend on a mask height or an electron density, but rather on a mask length and a biasing voltage of the surface. Mask height also changes the flux distribution apart from the mask because of the shading effect of the mask. Electron density changes the distribution near the mask edge according to the Debye length. Dependence of distribution on parameters are complicated especially for a roof-type mask, and simulation study with various parameters are useful to understand the physical reasons of dependence and also is useful as a tool for experiment studies.

  1. Characterizing the monaural and binaural processes underlying reflection masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg

    2007-01-01

    for the two RMTs, it is shown that forward masking effects only have a significant effect on reflection masking for delays above 7–10 ms. Moreover, binaural mechanisms were revealed which deteriorate auditory detection of test reflections for delays below 7–10 ms and enhance detection for larger delays....... The monaural and binaural processes that may underlie reflection masking are discussed in terms of auditory-modelling concepts....

  2. Pressure Ulcer Incidence in Patients Wearing Nasal-Oral Versus Full-Face Noninvasive Ventilation Masks.

    Science.gov (United States)

    Schallom, Marilyn; Cracchiolo, Lisa; Falker, Antoinette; Foster, Jennifer; Hager, JoAnn; Morehouse, Tamara; Watts, Peggy; Weems, Linda; Kollef, Marin

    2015-07-01

    Device-related pressure ulcers from noninvasive ventilation masks alter skin integrity and cause patients discomfort. To examine the incidence, location, and stage of pressure ulcers and patients' comfort with a nasal-oral mask compared with a full-face mask. A before-after study of a convenience sample of patients with noninvasive ventilation orders in 5 intensive care units was conducted. Two groups of 100 patients each received either the nasal-oral mask or the full-face mask. Skin was assessed before the mask was applied and every 12 hours after that or upon mask removal. Comfort levels were assessed every 12 hours on a Likert scale of 1 to 5 (1, most comfortable). A pressure ulcer developed in 20% of patients in the nasal-oral mask group and 2% of patients in the full-face mask group (P face mask (mean [SD], 1.9 [1.1]) than with the nasal-oral mask (mean [SD], 2.7 [1.2], P face mask and 25 (SD, 20.7) and 92% for nasal-oral mask. No patients who had a pressure ulcer develop with the nasal-oral mask had a pressure ulcer develop with the full-face mask. The full-face mask resulted in significantly fewer pressure ulcers and was more comfortable for patients. The full-face mask is a reasonable alternative to traditional nasal-oral masks for patients receiving noninvasive ventilation. ©2015 American Association of Critical-Care Nurses.

  3. Inter- and intra-observer reliability of masking in plantar pressure measurement analysis.

    Science.gov (United States)

    Deschamps, K; Birch, I; Mc Innes, J; Desloovere, K; Matricali, G A

    2009-10-01

    Plantar pressure measurement is an important tool in gait analysis. Manual placement of small masks (masking) is increasingly used to calculate plantar pressure characteristics. Little is known concerning the reliability of manual masking. The aim of this study was to determine the reliability of masking on 2D plantar pressure footprints, in a population with forefoot deformity (i.e. hallux valgus). Using a random repeated-measure design, four observers identified the third metatarsal head on a peak-pressure barefoot footprint, using a small mask. Subsequently, the location of all five metatarsal heads was identified, using the same size of masks and the same protocol. The 2D positional variation of the masks and the peak pressure (PP) and pressure time integral (PTI) values of each mask were calculated. For single-masking the lowest inter-observer reliability was found for the distal-proximal direction, causing a clear, adverse impact on the reliability of the pressure characteristics (PP and PTI). In the medial-lateral direction the inter-observer reliability could be scored as high. Intra-observer reliability was better and could be scored as high or good for both directions, with a correlated improved reliability of the pressure characteristics. Reliability of multi-masking showed a similar pattern, but overall values tended to be lower. Therefore, small sized masking in order to define pressure characteristics in the forefoot should be done with care.

  4. Mask pressure effects on the nasal bridge during short-term noninvasive ventilation

    Science.gov (United States)

    Brill, Anne-Kathrin; Pickersgill, Rachel; Moghal, Mohammad; Morrell, Mary J.; Simonds, Anita K.

    2018-01-01

    The aim of this study was to assess the influence of different masks, ventilator settings and body positions on the pressure exerted on the nasal bridge by the mask and subjective comfort during noninvasive ventilation (NIV). We measured the pressure over the nasal bridge in 20 healthy participants receiving NIV via four different NIV masks (three oronasal masks, one nasal mask) at three different ventilator settings and in the seated or supine position. Objective pressure measurements were obtained with an I-Scan pressure-mapping system. Subjective comfort of the mask fit was assessed with a visual analogue scale. The masks exerted mean pressures between 47.6±29 mmHg and 91.9±42.4 mmHg on the nasal bridge. In the supine position, the pressure was lower in all masks (57.1±31.9 mmHg supine, 63.9±37.3 mmHg seated; pmasks, a change of inspiratory positive airway pressure (IPAP) did not influence the objective pressure over the nasal bridge. Subjective discomfort was associated with higher IPAP and positively correlated with the pressure on the skin. Objective measurement of pressure on the skin during mask fitting might be helpful for mask selection. Mask fitting in the supine position should be considered in the clinical routine. PMID:29637077

  5. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  6. Evaluation of protection factors provided by full-face masks using man-test method at workplace

    International Nuclear Information System (INIS)

    Izumi, Yukio; Kinouchi, Nobuyuki; Ikezawa, Yoshio.

    1994-01-01

    From a practical angle of view to estimate the protection factors (PFs) provided by full-face masks, a number of protection factors were measured with a man-test apparatus just before the wearers started to do radiation work in radiation controlled area. PFs of the total number of 2,279 cases were measured under five simulated working conditions. The measured PFs were widely distributed from 2.3 to 6,700. About 95% of workers obtained PFs more than 50, and about 64% showed much higher PFs more than 1,000 due to good fitting. In the case of some persons, the measured PFs irregularly varied and changed to a large degree. This method is a reliable technique that has been confirmed to protect unexpected internal exposure. From the results obtained, the method should be necessary to provide a better mask and higher PF for each worker. (author)

  7. A new suction mask to reduce leak during neonatal resuscitation: a manikin study.

    Science.gov (United States)

    Lorenz, Laila; Maxfield, Dominic A; Dawson, Jennifer A; Kamlin, C Omar F; McGrory, Lorraine; Thio, Marta; Donath, Susan M; Davis, Peter G

    2016-09-01

    Leak around the face mask is a common problem during neonatal resuscitation. A newly designed face mask using a suction system to enhance contact between the mask and the infant's face might reduce leak and improve neonatal resuscitation. The aim of the study is to determine whether leak is reduced using the suction mask (Resusi-sure mask) compared with a conventional mask (Laerdal Silicone mask) in a manikin model. Sixty participants from different professional categories (neonatal consultants, fellows, registrars, nurses, midwives and students) used each face mask in a random order to deliver 2 min of positive pressure ventilation to a manikin. Delivered airway pressures were measured using a pressure line. Inspiratory and expiratory flows were measured using a flow sensor, and expiratory tidal volumes and mask leaks were derived from these values. A median (IQR) leak of 12.1 (0.6-39.0)% was found with the conventional mask compared with 0.7 (0.2-4.6)% using the suction mask (p=0.002). 50% of the participants preferred to use the suction mask and 38% preferred to use the conventional mask. There was no correlation between leak and operator experience. A new neonatal face mask based on the suction system reduced leak in a manikin model. Clinical studies to test the safety and effectiveness of this mask are needed. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  8. Investigation and modeling of CPL mask profiles using OCD

    Science.gov (United States)

    Chen, Hsuan-Chen; Lin, Ren-Hao; Chen, Chien-Cheng; Huang, Cheng-Hsuan; Lien, Ta-Cheng; Chen, Chia-Jen; Lee, Gaston; Lee, Hsin-Chang; Yen, Anthony

    2016-05-01

    Mask profile of chromeless phase-shifting lithography (CPL) defined by OCD has been investigated. In CPL masks, unbalanced bombardments caused by different ion accelerations lead to the formation of micro-notch structures. A better understanding of micro-notch structures is essential for quality gating of mask processes to improve of CPL mask profiles. By measuring 12 of 16 elements of Mueller matrix, we are able to set up a model to simulate the depth of micro-notch structure profile which shows good correlation with TEM images. Moreover, values of CD, quartz etching depth and side wall angle acquired by OCD are presented and compared with those obtained by SEM, TEM and AFM, respectively.

  9. The effect of betel nut chewing on contour and object masking.

    Science.gov (United States)

    Ho, Ming-Chou; Wang, Chin-Kun

    2011-11-01

    The betel nut is a common stimulant in many Asian countries. We employed the masking task developed by Enns and Di Lollo (Trends in Cognitive Sciences, 4, 345-352, 1997) to investigate the effects of betel nuts on sensory and attentional processing. In the masking task, participants needed to identify a target that was masked by either a contour mask or an object mask. Sensory processing was assessed by examining target identification in the contour mask condition when the target was presented only centrally, whereas attentional processing was assessed by examining target identification in the object mask condition when the target was presented randomly in either a central or a parafoveal location. The results showed that chewing betel nut and chewing gum produced significant contour masking with a large effect size, similar to the pure control condition, in which participants chewed nothing, and the placebo control condition, in which what participants chewed was disguised. This suggests that neither betel nut nor gum affects sensory processing. Alternatively, betel nut chewing could produce a reduction in object masking for the habitual chewers and the nonchewers, suggesting an effect of betel nut on attentional processing. This concentrated attention was also observed in the placebo control condition; thus, it cannot be exclusively driven by the expectation effect. Also, chewing per se reduced the attentional distribution foveally.

  10. A proposal of an open PET geometry

    Energy Technology Data Exchange (ETDEWEB)

    Yamaya, Taiga [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan); Inaniwa, Taku [Research Center for Charged Particle Therapy, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Minohara, Shinichi [Research Center for Charged Particle Therapy, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Yoshida, Eiji [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan); Inadama, Naoko [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan); Nishikido, Fumihiko [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan); Shibuya, Kengo [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan); Lam, Chih Fung [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan); Murayama, Hideo [Molecular Imaging Center, National Institute of Radiological Sciences, 4-9-1 Anagawa, Inage-ku, Chiba, 263-8555 (Japan)

    2008-02-07

    The long patient port of a PET scanner tends to put stress on patients, especially patients with claustrophobia. It also prevents doctors and technicians from taking care of patients during scanning. In this paper, we proposed an 'open PET' geometry, which consists of two axially separated detector rings. A long and continuous field-of-view (FOV) including a 360 deg. opened gap between two detector rings can be imaged enabling a fully 3D image reconstruction of all the possible lines-of-response. The open PET will become practical if iterative image reconstruction methods are applied even though image reconstruction of the open PET is analytically an incomplete problem. First we implemented a 'masked' 3D ordered subset expectation maximization (OS-EM) in which the system matrix was obtained from a long 'gapless' scanner by applying a mask to detectors corresponding to the open space. Next, in order to evaluate imaging performance of the proposed open PET geometry, we simulated a dual HR+ scanner (ring diameter of D = 827 mm, axial length of W = 154 mm x 2) separated by a variable gap. The gap W was the maximum limit to have axially continuous FOV of 3W though the maximum diameter of FOV at the central slice was limited to D/2. Artifacts, observed on both sides of the open space when the gap exceeded W, were effectively reduced by inserting detectors partially into unnecessary open spaces. We also tested the open PET geometry using experimental data obtained by the jPET-D4. The jPET-D4 is a prototype brain scanner, which has 5 rings of 24 detector blocks. We simulated the open jPET-D4 with a gap of 66 mm by eliminating 1 block-ring from experimental data. Although some artifacts were seen at both ends of the opened gap, very similar images were obtained with and without the gap. The proposed open PET geometry is expected to lead to realization of in-beam PET, which is a method for an in situ monitoring of charged particle therapy, by

  11. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  12. A 3D-RBS study of irradiation-induced deformation and masking properties of ordered colloidal nanoparticulate masks

    International Nuclear Information System (INIS)

    Zolnai, Z.; Deak, A.; Nagy, N.; Toth, A.L.; Kotai, E.; Battistig, G.

    2010-01-01

    The 500 keV Xe 2+ irradiation-induced anisotropic deformation of ordered colloidal silica nanoparticulate masks is followed using 2 MeV 4 He + Rutherford Backscattering Spectrometry (RBS) with different measurement geometries and the improved data analysis capabilities of the RBS-MAST spectrum simulation code. The three-dimensional (3D) geometrical transformation from spherical to oblate ellipsoidal and polygonal shape and the decrease of the mask's hole size is described. The masking properties of the silica monolayer and the depth distribution of Xe in the underlying Si substrate vs. the irradiated Xe 2+ fluence are discussed. Field Emission Scanning Electron Microscopy (FESEM) is applied as complementary characterization tool. Our results give contribution to clarify the impact of ion-nanoparticle interactions on the potentials and limits of nanosphere lithography. We also show the capability of the conventional RBS technique to characterize laterally ordered submicron-sized three-dimensional structures.

  13. Surgical Face Masks Worn by Patients with Multidrug-Resistant Tuberculosis

    Science.gov (United States)

    Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A.; van der Walt, Martie; Nardell, Edward A.

    2012-01-01

    Rationale: Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. Objectives: We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Methods: Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Measurements and Main Results: Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68–85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31–51%), representing a 56% (95% CI, 33–70.5%) decreased risk of TB transmission when patients used masks. Conclusions: Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients. PMID:22323300

  14. Simultaneous masking additivity for short Gaussian-shaped tones: spectral effects.

    Science.gov (United States)

    Laback, Bernhard; Necciari, Thibaud; Balazs, Peter; Savel, Sophie; Ystad, Sølvi

    2013-08-01

    Laback et al. [(2011). J. Acoust. Soc. Am. 129, 888-897] investigated the additivity of nonsimultaneous masking using short Gaussian-shaped tones as maskers and target. The present study involved Gaussian stimuli to measure the additivity of simultaneous masking for combinations of up to four spectrally separated maskers. According to most basilar membrane measurements, the maskers should be processed linearly at the characteristic frequency (CF) of the target. Assuming also compression of the target, all masker combinations should produce excess masking (exceeding linear additivity). The results for a pair of maskers flanking the target indeed showed excess masking. The amount of excess masking could be predicted by a model assuming summation of masker-evoked excitations in intensity units at the target CF and compression of the target, using compressive input/output functions derived from the nonsimultaneous masking study. However, the combinations of lower-frequency maskers showed much less excess masking than predicted by the model. This cannot easily be attributed to factors like off-frequency listening, combination tone perception, or between-masker suppression. It was better predicted, however, by assuming weighted intensity summation of masker excitations. The optimum weights for the lower-frequency maskers were smaller than one, consistent with partial masker compression as indicated by recent psychoacoustic data.

  15. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  16. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  17. Demagnifying electron projection with grid masks

    International Nuclear Information System (INIS)

    Politycki, A.; Meyer, A.

    1978-01-01

    Tightly toleranced micro- and submicrostructures with smooth edges were realized by using transmission masks with an improved supporting grid (width of traverses 0.8 μm). Local edge shift due to the proximity effect is kept at a minimum. Supporting grids with stil narrower traverses (0.5 μm) were prepared by generating the grid pattern by electron beam writing. Masks of this kind allow projection at a demagnification ratio of 1:4, resulting in large image fields. (orig.) [de

  18. Correction: Inferior alveolar nerve injury with laryngeal mask airway: a case report.

    LENUS (Irish Health Repository)

    Hanumanthaiah, Deepak

    2011-11-30

    ABSTRACT: Following the publication of our article [Inferior alveolar nerve injury with laryngeal mask airway: a case report. Journal of Medical Case Reports 2011, 5:122] it was brought to our attention that we inadvertently used the registered trademark of the Laryngeal Mask Company Limited (LMA) as the abbreviation for laryngeal mask airway. A Portex(R) Soft Seal(R) Laryngeal Mask was used and not a device manufactured by the Laryngeal Mask Company.

  19. Optimum design of a coded mask X-ray telescope for rocket applications

    International Nuclear Information System (INIS)

    Gunson, J.; Polychronopulos, B.

    1976-01-01

    A review of the principles of current X-ray telescopes is made with particular emphasis on two-step imaging techniques involving coding masks. The merits and limitations of the various types of coding masks in use are examined in detail. The limitations are shown to arise from the finite nature of practical masks. By postulating periodicity, 'optimum masks' can be constructed with ideal imaging qualities. The theory for the design of such masks and the practical considerations involved in the design of a rocket-borne X-ray telescope system are discussed in full, with particular attention paid to resolution, field of view and image noise. The main emphasis throughout the paper is on one-dimensional masks but two-dimensional masks are also studied. It is concluded that optimum masks could prove very valuable in astronomical applications and also in other fields such as radiography, where high imaging quality coupled with high sensitivity and low cost are of utmost importance. (author)

  20. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets

    Science.gov (United States)

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. PMID:26491717

  1. Performance of an Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin; Belikov, Ruslan; Pluzhnik, Eugene; Balasubramanian, Kunjithapatham; Wilson, Dan

    2014-01-01

    Coronagraph technology combined with wavefront control is close to achieving the contrast and inner working angle requirements in the lab necessary to observe the faint signal of an Earth-like exoplanet in monochromatic light. An important remaining technological challenge is to achieve high contrast in broadband light. Coronagraph bandwidth is largely limited by chromaticity of the focal plane mask, which is responsible for blocking the stellar PSF. The size of a stellar PSF scales linearly with wavelength; ideally, the size of the focal plane mask would also scale with wavelength. A conventional hard-edge focal plane mask has a fixed size, normally sized for the longest wavelength in the observational band to avoid starlight leakage. The conventional mask is oversized for shorter wavelengths and blocks useful discovery space. Recently we presented a solution to the size chromaticity challenge with a focal plane mask designed to scale its effective size with wavelength. In this paper, we analyze performance of the achromatic size-scaling focal plane mask within a Phase Induced Amplitude Apodization (PIAA) coronagraph. We present results from wavefront control around the achromatic focal plane mask, and demonstrate the size-scaling effect of the mask with wavelength. The edge of the dark zone, and therefore the inner working angle of the coronagraph, scale with wavelength. The achromatic mask enables operation in a wider band of wavelengths compared with a conventional hard-edge occulter.

  2. Reflective Occultation Mask for Evaluation of Occulter Designs for Planet Finding

    Science.gov (United States)

    Hagopian, John; Lyon, Richard; Shiri, Shahram; Roman, Patrick

    2011-01-01

    Advanced formation flying occulter designs utilize a large occulter mask flying in formation with an imaging telescope to block and null starlight to allow imaging of faint planets in exosolar systems. A paper describes the utilization of subscale reflective occultation masks to evaluate formation flying occulter designs. The use of a reflective mask allows mounting of the occulter by conventional means and simplifies the test configuration. The innovation alters the test set-up to allow mounting of the mask using standard techniques to eliminate the problems associated with a standard configuration. The modified configuration uses a reflective set-up whereby the star simulator reflects off of a reflective occulting mask and into an evaluation telescope. Since the mask is sized to capture all rays required for the imaging test, it can be mounted directly to a supporting fixture without interfering with the beam. Functionally, the reflective occultation mask reflects light from the star simulator instead of transmitting it, with a highly absorptive carbon nanotube layer simulating the occulter blocking mask. A subscale telescope images the star source and companion dim source that represents a planet. The primary advantage of this is that the occulter can be mounted conventionally instead of using diffractive wires or magnetic levitation.

  3. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  4. Masking release with changing fundamental frequency: Electric acoustic stimulation resembles normal hearing subjects.

    Science.gov (United States)

    Auinger, Alice Barbara; Riss, Dominik; Liepins, Rudolfs; Rader, Tobias; Keck, Tilman; Keintzel, Thomas; Kaider, Alexandra; Baumgartner, Wolf-Dieter; Gstoettner, Wolfgang; Arnoldner, Christoph

    2017-07-01

    It has been shown that patients with electric acoustic stimulation (EAS) perform better in noisy environments than patients with a cochlear implant (CI). One reason for this could be the preserved access to acoustic low-frequency cues including the fundamental frequency (F0). Therefore, our primary aim was to investigate whether users of EAS experience a release from masking with increasing F0 difference between target talker and masking talker. The study comprised 29 patients and consisted of three groups of subjects: EAS users, CI users and normal-hearing listeners (NH). All CI and EAS users were implanted with a MED-EL cochlear implant and had at least 12 months of experience with the implant. Speech perception was assessed with the Oldenburg sentence test (OlSa) using one sentence from the test corpus as speech masker. The F0 in this masking sentence was shifted upwards by 4, 8, or 12 semitones. For each of these masker conditions the speech reception threshold (SRT) was assessed by adaptively varying the masker level while presenting the target sentences at a fixed level. A statistically significant improvement in speech perception was found for increasing difference in F0 between target sentence and masker sentence in EAS users (p = 0.038) and in NH listeners (p = 0.003). In CI users (classic CI or EAS users with electrical stimulation only) speech perception was independent from differences in F0 between target and masker. A release from masking with increasing difference in F0 between target and masking speech was only observed in listeners and configurations in which the low-frequency region was presented acoustically. Thus, the speech information contained in the low frequencies seems to be crucial for allowing listeners to separate multiple sources. By combining acoustic and electric information, EAS users even manage tasks as complicated as segregating the audio streams from multiple talkers. Preserving the natural code, like fine-structure cues in

  5. Backward masking, the suffix effect, and preperceptual storage.

    Science.gov (United States)

    Kallman, H J; Massaro, D W

    1983-04-01

    This article considers the use of auditory backward recognition masking (ABRM) and stimulus suffix experiments as indexes of preperceptual auditory storage. In the first part of the article, two ABRM experiments that failed to demonstrate a mask disinhibition effect found previously in stimulus suffix experiments are reported. The failure to demonstrate mask disinhibition is inconsistent with an explanation of ABRM in terms of lateral inhibition. In the second part of the article, evidence is presented to support the conclusion that the suffix effect involves the contributions of later processing stages and does not provide an uncontaminated index of preperceptual storage. In contrast, it is claimed that ABRM experiments provide the most direct index of the temporal course of perceptual recognition. Partial-report tasks and other paradigms are also evaluated in terms of their contributions to an understanding of preperceptual auditory storage. Differences between interruption and integration masking are discussed along with the role of preperceptual auditory storage in speech perception.

  6. MODELING SPECTRAL AND TEMPORAL MASKING IN THE HUMAN AUDITORY SYSTEM

    DEFF Research Database (Denmark)

    Dau, Torsten; Jepsen, Morten Løve; Ewert, Stephan D.

    2007-01-01

    An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997)] but inclu......An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997...... was tested in conditions of tone-in-noise masking, intensity discrimination, spectral masking with tones and narrowband noises, forward masking with (on- and off-frequency) noise- and pure-tone maskers, and amplitude modulation detection using different noise carrier bandwidths. One of the key properties...

  7. Source Separation via Spectral Masking for Speech Recognition Systems

    Directory of Open Access Journals (Sweden)

    Gustavo Fernandes Rodrigues

    2012-12-01

    Full Text Available In this paper we present an insight into the use of spectral masking techniques in time-frequency domain, as a preprocessing step for the speech signal recognition. Speech recognition systems have their performance negatively affected in noisy environments or in the presence of other speech signals. The limits of these masking techniques for different levels of the signal-to-noise ratio are discussed. We show the robustness of the spectral masking techniques against four types of noise: white, pink, brown and human speech noise (bubble noise. The main contribution of this work is to analyze the performance limits of recognition systems  using spectral masking. We obtain an increase of 18% on the speech hit rate, when the speech signals were corrupted by other speech signals or bubble noise, with different signal-to-noise ratio of approximately 1, 10 and 20 dB. On the other hand, applying the ideal binary masks to mixtures corrupted by white, pink and brown noise, results an average growth of 9% on the speech hit rate, with the same different signal-to-noise ratio. The experimental results suggest that the masking spectral techniques are more suitable for the case when it is applied a bubble noise, which is produced by human speech, than for the case of applying white, pink and brown noise.

  8. Evaluation of protection provided by air purifying half and full-face masks as worn

    International Nuclear Information System (INIS)

    Murata, Mikio; Ikezawa, Yoshio; Yoshida, Yoshikazu

    1979-01-01

    For selecting good-performing masks and estimating the protection provided by the masks, the leakage has been measured for six types of half mask and three types of full-face mask as worn. The cloud of submicron sodium chloride particles was generated within a test hood in which the subject wore his mask. The air sampled from inside the mask with a miniature pump was assessed by a flame photometer. The leakage was measured under four simulated working conditions such as normal breathing, smiling, moving head, and talking. The measured protection factors (defined as the ratio of the concentration of the test cloud outside the mask to that inside the mask) widely distributed from 10 to 3,300 for the half masks and from 100 to 3,300 for the full-face masks, depending on the persons and the working conditions. The values characterising the distribution of the protection factor for each mask are summarized. Based on these values, the performance of each mask and the effects of working conditions on the protection are discussed. (author)

  9. Development for 2D pattern quantification method on mask and wafer

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Toyoda, Yasutaka; Wang, Zhigang

    2010-03-01

    We have developed the effective method of mask and silicon 2-dimensional metrology. The aim of this method is evaluating the performance of the silicon corresponding to Hotspot on a mask. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. 2-dimensional Shape quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. On the other hand, there is roughness in the silicon shape made from a mass-production line. Moreover, there is variation in the silicon shape. For this reason, quantification of silicon shape is important, in order to estimate the performance of a pattern. In order to quantify, the same shape is equalized in two dimensions. And the method of evaluating based on the shape is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. It is possible to analyze variability of the edge of the same position with high precision. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and

  10. MASKED AREAS IN SHEAR PEAK STATISTICS: A FORWARD MODELING APPROACH

    International Nuclear Information System (INIS)

    Bard, D.; Kratochvil, J. M.; Dawson, W.

    2016-01-01

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impact of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance

  11. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  12. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  13. The bright-star masks for the HSC-SSP survey

    Science.gov (United States)

    Coupon, Jean; Czakon, Nicole; Bosch, James; Komiyama, Yutaka; Medezinski, Elinor; Miyazaki, Satoshi; Oguri, Masamune

    2018-01-01

    We present the procedure to build and validate the bright-star masks for the Hyper-Suprime-Cam Strategic Subaru Proposal (HSC-SSP) survey. To identify and mask the saturated stars in the full HSC-SSP footprint, we rely on the Gaia and Tycho-2 star catalogues. We first assemble a pure star catalogue down to GGaia SSP, finding that our star catalogue is 99.2% pure down to GGaia SSP observations at "ftp://obsftp.unige.ch/pub/coupon/brightStarMasks/HSC-SSP/".

  14. A mask quality control tool for the OSIRIS multi-object spectrograph

    Science.gov (United States)

    López-Ruiz, J. C.; Vaz Cedillo, Jacinto Javier; Ederoclite, Alessandro; Bongiovanni, Ángel; González Escalera, Víctor

    2012-09-01

    OSIRIS multi object spectrograph uses a set of user-customised-masks, which are manufactured on-demand. The manufacturing process consists of drilling the specified slits on the mask with the required accuracy. Ensuring that slits are on the right place when observing is of vital importance. We present a tool for checking the quality of the process of manufacturing the masks which is based on analyzing the instrument images obtained with the manufactured masks on place. The tool extracts the slit information from these images, relates specifications with the extracted slit information, and finally communicates to the operator if the manufactured mask fulfills the expectations of the mask designer. The proposed tool has been built using scripting languages and using standard libraries such as opencv, pyraf and scipy. The software architecture, advantages and limits of this tool in the lifecycle of a multiobject acquisition are presented.

  15. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    Science.gov (United States)

    Necciari, Thibaud; Laback, Bernhard; Savel, Sophie; Ystad, Sølvi; Balazs, Peter; Meunier, Sabine; Kronland-Martinet, Richard

    2016-01-01

    Many audio applications perform perception-based time-frequency (TF) analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain) using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1) with standard model parameters (i.e. without efferents), (2) with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other) effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using maximally

  16. Temperature rise of the mask-resist assembly during LIGA exposure

    International Nuclear Information System (INIS)

    Ting, Aili

    2004-01-01

    Deep X-ray lithography on PMMA resist is used in the LIGA process. The resist is exposed to synchrotron X-rays through a patterned mask and then is developed in a liquid developer to make high aspect ratio microstructures. The limitations in dimensional accuracies of the LIGA generated microstructure originate from many sources, including synchrotron and X-ray physics, thermal and mechanical properties of mask and resist, and from the kinetics of the developer. This work addresses the thermal analysis and temperature rise of the mask-resist assembly during exposure in air at the Advanced Light Source (ALS) synchrotron. The concern is that dimensional errors generated at the mask and the resist due to thermal expansion will lower the accuracy of the lithography. We have developed a three-dimensional finite-element model of the mask and resist assembly that includes a mask with absorber, a resist with substrate, three metal holders, and a water-cooling block. We employed the LIGA exposure-development software LEX-D to calculate volumetric heat sources generated in the assembly by X-ray absorption and the commercial software ABAQUS to calculate heat transfer including thermal conduction inside the assembly, natural and forced convection, and thermal radiation. at assembly outer and/or inner surfaces. The calculations of assembly maximum temperature. have been compared with temperature measurements conducted at ALS. In some of these experiments, additional cooling of the assembly was produced by forced nitrogen flow ('nitrogen jets') directed at the mask surface. The temperature rise in the silicon mask and the mask holder comes directly from the X-ray absorption, but nitrogen jets carry away a significant portion of heat energy from the mask surface, while natural convection carries away negligibly small amounts energy from the holder. The temperature rise in PMMA resist is mainly from heat conducted from the silicon substrate backward to the resist and from the inner

  17. [Acoustic conditions in open plan office - Application of technical measures in a typical room].

    Science.gov (United States)

    Mikulski, Witold

    2018-03-09

    Noise in open plan offices should not exceed acceptable levels for the hearing protection. Its major negative effects on employees are nuisance and impediment in execution of work. Specific technical solutions should be introduced to provide proper acoustic conditions for work performance. Acoustic evaluation of a typical open plan office was presented in the article published in "Medycyna Pracy" 5/2016. None of the rooms meets all the criteria, therefore, in this article one of the rooms was chosen to apply different technical solutions to check the possibility of reaching proper acoustic conditions. Acoustic effectiveness of those solutions was verified by means of digital simulation. The model was checked by comparing the results of measurements and calculations before using simulation. The analyzis revealed that open plan offices supplemented with signals for masking speech signals can meet all the required criteria. It is relatively easy to reach proper reverberation time (i.e., sound absorption). It is more difficult to reach proper values of evaluation parameters determined from A-weighted sound pressure level (SPLA) of speech. The most difficult is to provide proper values of evaluation parameters determined from speech transmission index (STI). Finally, it is necessary (besides acoustic treatment) to use devices for speech masking. The study proved that it is technically possible to reach proper acoustic condition. Main causes of employees complaints in open plan office are inadequate acoustic work conditions. Therefore, it is necessary to apply specific technical solutions - not only sound absorbing suspended ceiling and high acoustic barriers, but also devices for speech masking. Med Pr 2018;69(2):153-165. This work is available in Open Access model and licensed under a CC BY-NC 3.0 PL license.

  18. Deformably registering and annotating whole CLARITY brains to an atlas via masked LDDMM

    Science.gov (United States)

    Kutten, Kwame S.; Vogelstein, Joshua T.; Charon, Nicolas; Ye, Li; Deisseroth, Karl; Miller, Michael I.

    2016-04-01

    The CLARITY method renders brains optically transparent to enable high-resolution imaging in the structurally intact brain. Anatomically annotating CLARITY brains is necessary for discovering which regions contain signals of interest. Manually annotating whole-brain, terabyte CLARITY images is difficult, time-consuming, subjective, and error-prone. Automatically registering CLARITY images to a pre-annotated brain atlas offers a solution, but is difficult for several reasons. Removal of the brain from the skull and subsequent storage and processing cause variable non-rigid deformations, thus compounding inter-subject anatomical variability. Additionally, the signal in CLARITY images arises from various biochemical contrast agents which only sparsely label brain structures. This sparse labeling challenges the most commonly used registration algorithms that need to match image histogram statistics to the more densely labeled histological brain atlases. The standard method is a multiscale Mutual Information B-spline algorithm that dynamically generates an average template as an intermediate registration target. We determined that this method performs poorly when registering CLARITY brains to the Allen Institute's Mouse Reference Atlas (ARA), because the image histogram statistics are poorly matched. Therefore, we developed a method (Mask-LDDMM) for registering CLARITY images, that automatically finds the brain boundary and learns the optimal deformation between the brain and atlas masks. Using Mask-LDDMM without an average template provided better results than the standard approach when registering CLARITY brains to the ARA. The LDDMM pipelines developed here provide a fast automated way to anatomically annotate CLARITY images; our code is available as open source software at http://NeuroData.io.

  19. New Y2K problem for mask making (or, Surviving mask data problems after 2000)

    Science.gov (United States)

    Sturgeon, Roger

    1999-08-01

    The Y2K problem has analogies in the mask-making world. With the Y2K problem where a date field has just two bytes for the year, there are some cases of mask-making data in which the file size cannot exceed 2 gigabytes. Where a two-digit date field can only unambiguously use a limited range of values (00 to 99), design coordinates can only cover a range of about 4 billion values, which is getting a little uncomfortable for all of the new applications. In retrospect, with a degree of foresight and planning the Y2K date problem could have been easily solved if new encodings had been allowed in the two- digit field. Likewise, in the mask-making industry we currently have the opportunity to achieve far superior data compression if we allow some new forms of data encoding in our data. But this will require universal agreement. The correct way to look at the Y2K problem is that some information was left out of the data stream due to common understandings that made the additional information superfluous. But as the year 2000 approaches, it has become widely recognized that missing data needs to be stated explicitly, and any ambiguities in the representation of the data will need to be eliminated with precise specifications. In a similar way, old mask data generation methods have had numerous flaws that we have been able to ignore for a long time. But now is the time to fix theses flaws and provide extended capabilities. What is not yet clear is if the old data generation methods can be modified to meet these developing needs. Unilateral action is not likely to lead to much progress, so some united effort is required by all interested parties if success is to be achieved in the brief time that remains.

  20. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  1. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    Science.gov (United States)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  2. Non-periodic multi-slit masking for a single counter rotating 2-disc chopper and channeling guides for high resolution and high intensity neutron TOF spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bartkowiak, M.; Hofmann, T.; Stüßer, N.

    2017-02-01

    Energy resolution is an important design goal for time-of-flight instruments and neutron spectroscopy. For high-resolution applications, it is required that the burst times of choppers be short, going down to the µs-range. To produce short pulses while maintaining high neutron flux, we propose beam masks with more than two slits on a counter-rotating 2-disc chopper, behind specially adapted focusing multi-channel guides. A novel non-regular arrangement of the slits ensures that the beam opens only once per chopper cycle, when the masks are congruently aligned. Additionally, beam splitting and intensity focusing by guides before and after the chopper position provide high intensities even for small samples. Phase-space analysis and Monte Carlo simulations on examples of four-slit masks with adapted guide geometries show the potential of the proposed setup.

  3. Voice-associated static face image releases speech from informational masking.

    Science.gov (United States)

    Gao, Yayue; Cao, Shuyang; Qu, Tianshu; Wu, Xihong; Li, Haifeng; Zhang, Jinsheng; Li, Liang

    2014-06-01

    In noisy, multipeople talking environments such as a cocktail party, listeners can use various perceptual and/or cognitive cues to improve recognition of target speech against masking, particularly informational masking. Previous studies have shown that temporally prepresented voice cues (voice primes) improve recognition of target speech against speech masking but not noise masking. This study investigated whether static face image primes that have become target-voice associated (i.e., facial images linked through associative learning with voices reciting the target speech) can be used by listeners to unmask speech. The results showed that in 32 normal-hearing younger adults, temporally prepresenting a voice-priming sentence with the same voice reciting the target sentence significantly improved the recognition of target speech that was masked by irrelevant two-talker speech. When a person's face photograph image became associated with the voice reciting the target speech by learning, temporally prepresenting the target-voice-associated face image significantly improved recognition of target speech against speech masking, particularly for the last two keywords in the target sentence. Moreover, speech-recognition performance under the voice-priming condition was significantly correlated to that under the face-priming condition. The results suggest that learned facial information on talker identity plays an important role in identifying the target-talker's voice and facilitating selective attention to the target-speech stream against the masking-speech stream. © 2014 The Institute of Psychology, Chinese Academy of Sciences and Wiley Publishing Asia Pty Ltd.

  4. A further test of the linearity of temporal summation in forward masking.

    Science.gov (United States)

    Plack, Christopher J; Carcagno, Samuele; Oxenham, Andrew J

    2007-10-01

    An experiment tested the hypothesis that the masking effects of two nonoverlapping forward maskers are summed linearly over time. First, the levels of individual noise maskers required to mask a brief 4-kHz signal presented at 10-, 20-, 30-, or 40-dB sensation level (SL) were found. The hypothesis predicts that a combination of the first masker presented at the level required to mask the 10-dB SL signal and the second masker presented at the level required to mask the 20-dB SL signal, should produce the same amount of masking as the converse situation (i.e., the first masker presented at the level required to mask the 20-dB SL signal and the second masker presented at the level required to mask the 10-dB SL signal), and similarly for the 30- and 40-dB SL signals. The results were consistent with the predictions.

  5. Measurements from preterm infants to guide face mask size.

    Science.gov (United States)

    O'Shea, Joyce E; Thio, Marta; Owen, Louise S; Wong, Connie; Dawson, Jennifer A; Davis, Peter G

    2016-07-01

    International guidelines recommend that an appropriately sized face mask for providing positive pressure ventilation should cover the mouth and nose but not the eyes and should not overlap the chin. This study aimed to measure the dimensions of preterm infants' faces and compare these with the size of the most commonly available face masks (external diameter 50 mm) and the smallest masks available (external diameters 35 and 42 mm). Infants 24-33 weeks' postmenstrual age (PMA) were photographed in a standardised manner. Images were analysed using ImageJ software (National Institute of Health, USA) to calculate the distance from the nasofrontal groove to the mental protuberance. This facial measurement corresponds to the external diameter of an optimally fitting mask. A cohort of 107 infants between 24 and 33 weeks' gestational age, including at least 10 infants per week of gestation, was photographed within 72 h after birth and weekly until 33 weeks' PMA. 347 photographs were analysed. Infants of 24, 26, 28, 30 and 32 weeks' PMA had mean (SD) facial measurements of 32 (2), 36 (3), 38 (4), 41 (2) and 43 (4) mm, respectively. There were no significant differences when examined by gender or when small for gestational age infants were excluded. The smallest size of some brands of mask is too large for many preterm infants. Masks of 35 mm diameter are suitable for infants Masks of 42 mm diameter are suitable for infants 27-33 weeks' PMA or 750-2500 g. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  6. Improved Mask Protected DES using RSA Algorithm

    Directory of Open Access Journals (Sweden)

    Asha Latha S.

    2016-01-01

    Full Text Available The data encryption standard is a pioneering and farsighted standard which helped to set a new paradigm for encryption standards. But now DES is considered to be insecure for some application. Asymmetric mask protected DES is an advanced encryption method for effectively protecting the advanced DES. There are still probabilities to improve its security. This paper propose a method, which introduce a RSA key generation scheme in mask protected DES instead of plain key, which result in enhancement in the security of present asymmetric mask protected DES. We further propose a Vedic mathematical method of RSA implementation which reduce the complexity of computation in RSA block thereby resulting in reduced delay (four timesthat improves the performance of overall system. The software implementation was performed using Xilinx 13.2 and Model-Sim was used for the simulation environment.

  7. A pattern-based method to automate mask inspection files

    Science.gov (United States)

    Kamal Baharin, Ezni Aznida Binti; Muhsain, Mohamad Fahmi Bin; Ahmad Ibrahim, Muhamad Asraf Bin; Ahmad Noorhani, Ahmad Nurul Ihsan Bin; Sweis, Jason; Lai, Ya-Chieh; Hurat, Philippe

    2017-03-01

    Mask inspection is a critical step in the mask manufacturing process in order to ensure all dimensions printed are within the needed tolerances. This becomes even more challenging as the device nodes shrink and the complexity of the tapeout increases. Thus, the amount of measurement points and their critical dimension (CD) types are increasing to ensure the quality of the mask. In addition to the mask quality, there is a significant amount of manpower needed when the preparation and debugging of this process are not automated. By utilizing a novel pattern search technology with the ability to measure and report match region scan-line (edge) measurements, we can create a flow to find, measure and mark all metrology locations of interest and provide this automated report to the mask shop for inspection. A digital library is created based on the technology product and node which contains the test patterns to be measured. This paper will discuss how these digital libraries will be generated and then utilized. As a time-critical part of the manufacturing process, this can also reduce the data preparation cycle time, minimize the amount of manual/human error in naming and measuring the various locations, reduce the risk of wrong/missing CD locations, and reduce the amount of manpower needed overall. We will also review an example pattern and how the reporting structure to the mask shop can be processed. This entire process can now be fully automated.

  8. Mask industry quality assessment

    Science.gov (United States)

    Strott, Al; Bassist, Larry

    1994-12-01

    Product quality and timely delivery are two of the most important parameters in determining the success of a mask manufacturing facility. Because of the sensitivity of this data, very little was known about industry performance in these areas until an assessment was authored and presented at the 1993 BACUS Symposium by Larry Regis of Intel Corporation, Neil Paulsen of Intel Corporation, and James A. Reynolds of Reynolds Consulting. This data has been updated and will be published and presented at this year's BACUS Symposium. Contributor identities will again remain protected by utilizing Arthur Andersen & Company to compile the submittals. Participation was consistent with last year's representation of over 75% of the total merchant and captive mask volume in the United States. The data compiled includes shipments, customer return rate, customer return reasons from 1988 through Q2, 1994, performance to schedule, plate survival yield, and throughput time (TPT).

  9. 37 CFR 211.2 - Recordation of documents pertaining to mask works.

    Science.gov (United States)

    2010-07-01

    ... pertaining to mask works. 211.2 Section 211.2 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.2 Recordation of documents pertaining to mask works. The conditions prescribed in § 201.4 of this chapter for recordation of transfers...

  10. A novel micromachined shadow mask system with self-alignment and gap control capability

    International Nuclear Information System (INIS)

    Hong, Jung Moo; Zou Jun

    2008-01-01

    We present a novel micromachined shadow mask system, which is capable of accurate self-alignment and mask-substrate gap control. The shadow mask system consists of a silicon shadow mask and a silicon carrier wafer with pyramidal cavities fabricated with bulk micromachining. Self-alignment and gap control of the shadow mask and the fabrication substrate can readily be achieved by using matching pairs of pyramidal cavities and steel spheres placed between. The layer-to-layer alignment accuracy of the new shadow mask system has been experimentally characterized and verified using both optical and atomic force microscopic measurements. As an application of this new shadow mask system, an organic thin-film transistor (OTFT) using pentacene as the semiconductor layer has been successfully fabricated and tested

  11. Serotonin dependent masking of hippocampal sharp wave ripples.

    Science.gov (United States)

    ul Haq, Rizwan; Anderson, Marlene L; Hollnagel, Jan-Oliver; Worschech, Franziska; Sherkheli, Muhammad Azahr; Behrens, Christoph J; Heinemann, Uwe

    2016-02-01

    Sharp wave ripples (SPW-Rs) are thought to play an important role in memory consolidation. By rapid replay of previously stored information during slow wave sleep and consummatory behavior, they result from the formation of neural ensembles during a learning period. Serotonin (5-HT), suggested to be able to modify SPW-Rs, can affect many neurons simultaneously by volume transmission and alter network functions in an orchestrated fashion. In acute slices from dorsal hippocampus, SPW-Rs can be induced by repeated high frequency stimulation that induces long-lasting LTP. We used this model to study SPW-R appearance and modulation by 5-HT. Although stimulation in presence of 5-HT permitted LTP induction, SPW-Rs were "masked"--but appeared after 5-HT wash-out. This SPW-R masking was dose dependent with 100 nM 5-HT being sufficient--if the 5-HT re-uptake inhibitor citalopram was present. Fenfluramine, a serotonin releaser, could also mask SPW-Rs. Masking was due to 5-HT1A and 5-HT2A/C receptor activation. Neither membrane potential nor membrane conductance changes in pyramidal cells caused SPW-R blockade since both remained unaffected by combining 5-HT and citalopram. Moreover, 10 and 30 μM 5-HT mediated SPW-R masking preceded neuronal hyperpolarization and involved reduced presynaptic transmitter release. 5-HT, as well as a 5-HT1A agonist, augmented paired pulse facilitation and affected the coefficient of variance. Spontaneous SPW-Rs in mice hippocampal slices were also masked by 5-HT and fenfluramine. While neuronal ensembles can acquire long lasting LTP during higher 5-HT levels, lower 5-HT levels enable neural ensembles to replay previously stored information and thereby permit memory consolidation memory. Copyright © 2015 Elsevier Ltd. All rights reserved.

  12. Respiratory source control using a surgical mask: An in vitro study.

    Science.gov (United States)

    Patel, Rajeev B; Skaria, Shaji D; Mansour, Mohamed M; Smaldone, Gerald C

    2016-07-01

    Cough etiquette and respiratory hygiene are forms of source control encouraged to prevent the spread of respiratory infection. The use of surgical masks as a means of source control has not been quantified in terms of reducing exposure to others. We designed an in vitro model using various facepieces to assess their contribution to exposure reduction when worn at the infectious source (Source) relative to facepieces worn for primary (Receiver) protection, and the factors that contribute to each. In a chamber with various airflows, radiolabeled aerosols were exhaled via a ventilated soft-face manikin head using tidal breathing and cough (Source). Another manikin, containing a filter, quantified recipient exposure (Receiver). The natural fit surgical mask, fitted (SecureFit) surgical mask and an N95-class filtering facepiece respirator (commonly known as an "N95 respirator") with and without a Vaseline-seal were tested. With cough, source control (mask or respirator on Source) was statistically superior to mask or unsealed respirator protection on the Receiver (Receiver protection) in all environments. To equal source control during coughing, the N95 respirator must be Vaseline-sealed. During tidal breathing, source control was comparable or superior to mask or respirator protection on the Receiver. Source control via surgical masks may be an important adjunct defense against the spread of respiratory infections. The fit of the mask or respirator, in combination with the airflow patterns in a given setting, are significant contributors to source control efficacy. Future clinical trials should include a surgical mask source control arm to assess the contribution of source control in overall protection against airborne infection.

  13. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  14. Formulation, preparation, and evaluation of novel orally disintegrating tablets containing taste-masked naproxen sodium granules and naratriptan hydrochloride.

    Science.gov (United States)

    Stange, Ulrike; Führling, Christian; Gieseler, Henning

    2014-04-01

    The purpose of this study was to develop and manufacture novel freeze-dried orally disintegrating tablets (ODTs) for migraine therapy containing taste-masked naproxen sodium and naratriptan hydrochloride. The formulation was optimized based on freeze-drying of sucrose solutions with different binders (hydroxyethylstarch, sodium alginate, methylcellulose, and gelatin) and varying amounts of Eudragit® E-coated naproxen sodium granules. Excellent product performance of the ODTs in terms of hardness and disintegration time (hydrochloride, and taste-masked naproxen sodium granules corresponding to 200 mg of naproxen were then added, and the final batches of ODTs for migraine therapy were produced. The ODTs were fully characterized, and subsequently stored for 1 month at room temperature and at 40°C. The amount of free naproxen sodium after freeze-drying and storage was below the threshold bitterness value, and the coating remained intact. Additionally, the particle size distribution of taste-masked granules was preserved, and more than 90 % naproxen sodium was released after 30 min. Naratriptan hydrochloride was dissolved immediately after disintegration, hence facilitating buccal absorption of the active pharmaceutical ingredient. © 2014 Wiley Periodicals, Inc. and the American Pharmacists Association.

  15. Estimating individual listeners’ auditory-filter bandwidth in simultaneous and non-simultaneous masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg; Caminade, Sabine; Strelcyk, Olaf

    2010-01-01

    Frequency selectivity in the human auditory system is often measured using simultaneous masking of tones presented in notched noise. Based on such masking data, the equivalent rectangular bandwidth (ERB) of the auditory filters can be derived by applying the power spectrum model of masking....... Considering bandwidth estimates from previous studies based on forward masking, only average data across a number of subjects have been considered. The present study is concerned with bandwidth estimates in simultaneous and forward masking in individual normal-hearing subjects. In order to investigate...... the reliability of the individual estimates, a statistical resampling method is applied. It is demonstrated that a rather large set of experimental data is required to reliably estimate auditory filter bandwidth, particularly in the case of simultaneous masking. The poor overall reliability of the filter...

  16. Thermal stress analysis of the SLAC moveable mask. Addendum 2

    International Nuclear Information System (INIS)

    Johnson, G.L.

    1985-01-01

    X-ray beams emerging from the new SLAC electron-positron storage ring (PEP) can impinge on the walls of tangential divertor channels. A moveable mask made of 6061-T6 aluminum is installed in the channel to limit wall heating. The mask is cooled with water flowing axially at 30 0 C. Beam strikes on the mask cause highly localized heating in the channel structure. Analyses were completed to determine the temperatures and thermally-induced stresses due to this heating. The current design and operating conditions should result in the entrance to the moveable mask operating at a peak temperature of 88 0 C with a peak thermal stress at 19% of the yield of 6061-T6 aluminum

  17. Energy enhancer for mask based laser materials processing

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1996-01-01

    A device capable of drastically improving the energy efficiency of present mask based laser materials processing systems is presented. Good accordance between experiments and simulations for a TEA-CO2 laser system designed for laser marking has been demonstrated. The energy efficiency may...... be improved with a factor of 2 - 4 for typical mask transmittances between 10 - 40%....

  18. Clay jojoba oil facial mask for lesioned skin and mild acne--results of a prospective, observational pilot study.

    Science.gov (United States)

    Meier, Larissa; Stange, Rainer; Michalsen, Andreas; Uehleke, Bernhard

    2012-01-01

    External application of clay facial masks is a cosmetic procedure generally used to reduce skin lesions and to improve overall skin condition. Collecting pilot data about self-treatment with clay jojoba oil masks on participants with acne-prone, lesioned skin and acne. Open, prospective, observational pilot study: Participants received written information, instructions, and questionnaires without direct contact with the study physician. For 6 weeks, they applied the masks 2-3 times per week. The primary outcome is the difference of skin lesions: baseline vs. after 6 weeks. 194 participants (192 female, 2 male, mean age (± SE) (32.3 ± 0.7 years) returned questionnaires and diaries. 133 of these participants returned complete and precise lesion counts (per-protocol (PP) collective). A 54% mean reduction in total lesion count was observed after 6 weeks of treatment with clay facial mask. Both inflammatory and non-inflammatory skin lesions were reduced significantly after treatment compared to baseline: Median counts (MC) of pustules per affected participant were reduced from 7.0 ± 0.9 to 3.0 ± 0.5 (mean individual reduction (MIR) = 49.4%), the MC of the papules from 3.5 ± 2.2 to 1.0 ± 0.4 (MIR = 57.3%), the MC of cysts from 2.0 ± 0.8 to 0.5 ± 0.4 (MIR = 68.6%) and the MC of comedones from 26.5 ± 6.3 to 16.0 ± 4.0 (MIR = 39.1%). DLQI-average score decreased from 5.0 ± 4.5 (mean ± SE) before to 2.1 ± 2.8 after treatment. The present study gives preliminary evidence that healing clay jojoba oil facial masks can be effective treatment for lesioned skin and mild acne vulgaris. Copyright © 2012 S. Karger AG, Basel.

  19. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    Directory of Open Access Journals (Sweden)

    Thibaud Necciari

    Full Text Available Many audio applications perform perception-based time-frequency (TF analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1 with standard model parameters (i.e. without efferents, (2 with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using

  20. Adapting Mask-RCNN for Automatic Nucleus Segmentation

    OpenAIRE

    Johnson, Jeremiah W.

    2018-01-01

    Automatic segmentation of microscopy images is an important task in medical image processing and analysis. Nucleus detection is an important example of this task. Mask-RCNN is a recently proposed state-of-the-art algorithm for object detection, object localization, and object instance segmentation of natural images. In this paper we demonstrate that Mask-RCNN can be used to perform highly effective and efficient automatic segmentations of a wide range of microscopy images of cell nuclei, for ...

  1. Active Mask Segmentation of Fluorescence Microscope Images

    OpenAIRE

    Srinivasa, Gowri; Fickus, Matthew C.; Guo, Yusong; Linstedt, Adam D.; Kovačević, Jelena

    2009-01-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the “contour” to that of “inside and outside”, or, masks, allowing for easy mul...

  2. Beyond a mask and against the bottleneck: retroactive dual-task interference during working memory consolidation of a masked visual target.

    Science.gov (United States)

    Nieuwenstein, Mark; Wyble, Brad

    2014-06-01

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result, estimates of the time course of working memory consolidation differ more than an order of magnitude. Here, we contrasted these opposing views by examining if and for how long the processing of a masked display of visual stimuli can be disturbed by a trailing 2-alternative forced choice task (2-AFC; a color discrimination task or a visual or auditory parity judgment task). The results showed that the presence of the 2-AFC task produced a pronounced retroactive interference effect that dissipated across stimulus onset asynchronies of 250-1,000 ms, indicating that the processing elicited by the 2-AFC task interfered with the gradual consolidation of the earlier shown stimuli. Furthermore, this interference effect occurred regardless of whether the to-be-remembered stimuli comprised a string of letters or an unfamiliar complex visual shape, and it occurred regardless of whether these stimuli were masked. Conversely, the interference effect was reduced when the memory load for the 1st task was reduced, or when the 2nd task was a color detection task that did not require decision making. Taken together, these findings show that the formation of a durable and consciously accessible working memory trace for a briefly shown visual stimulus can be disturbed by a trailing 2-AFC task for up to several hundred milliseconds after the stimulus has been masked. By implication, the current findings challenge the common view that working memory consolidation involves an immutable central processing bottleneck, and they also make clear that consolidation does not stop when a stimulus is masked. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  3. MC1R studies in dogs with melanistic mask or brindle patterns.

    Science.gov (United States)

    Schmutz, S M; Berryere, T G; Ellinwood, N M; Kerns, J A; Barsh, G S

    2003-01-01

    Black mask is a characteristic pattern in which red, yellow, tan, fawn, or brindle dogs exhibit a melanistic muzzle which may extend up onto the ears. Melanistic mask is inherited in several breeds as an autosomal dominant trait, and appears to be a fixed trait in a few breeds of dogs. A MC1R nonsense mutation, R306ter, has been shown to cause a completely red or yellow coat color in certain breeds such as Irish setters, yellow Labrador retrievers, and golden retrievers. The amino acid sequence for the melanocortin receptor 1 gene (MC1R) was examined in 17 dogs with melanistic masks from seven breeds, 19 dogs without melanistic masks, and 7 dogs in which their coat color made the mask difficult to distinguish. We also examined nine brindle dogs of four breeds, including three dogs who also had a black mask. No consistent amino acid change was observed in the brindle dogs. All dogs with a melanistic mask had at least one copy of a valine substitution for methionine at amino acid 264 (M264V) and none were homozygous for the premature stop codon (R306ter). These results suggest that black mask, but not brindle, is caused by a specific MC1R allele.

  4. Perceptual effects of noise reduction by time-frequency masking of noisy speech.

    Science.gov (United States)

    Brons, Inge; Houben, Rolph; Dreschler, Wouter A

    2012-10-01

    Time-frequency masking is a method for noise reduction that is based on the time-frequency representation of a speech in noise signal. Depending on the estimated signal-to-noise ratio (SNR), each time-frequency unit is either attenuated or not. A special type of a time-frequency mask is the ideal binary mask (IBM), which has access to the real SNR (ideal). The IBM either retains or removes each time-frequency unit (binary mask). The IBM provides large improvements in speech intelligibility and is a valuable tool for investigating how different factors influence intelligibility. This study extends the standard outcome measure (speech intelligibility) with additional perceptual measures relevant for noise reduction: listening effort, noise annoyance, speech naturalness, and overall preference. Four types of time-frequency masking were evaluated: the original IBM, a tempered version of the IBM (called ITM) which applies limited and non-binary attenuation, and non-ideal masking (also tempered) with two different types of noise-estimation algorithms. The results from ideal masking imply that there is a trade-off between intelligibility and sound quality, which depends on the attenuation strength. Additionally, the results for non-ideal masking suggest that subjective measures can show effects of noise reduction even if noise reduction does not lead to differences in intelligibility.

  5. Study of shape evaluation for mask and silicon using large field of view

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2010-09-01

    We have developed a highly integrated method of mask and silicon metrology. The aim of this integration is evaluating the performance of the silicon corresponding to Hotspot on a mask. It can use the mask shape of a large field, besides. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. As an optimal solution to these issues, we provide a DFM solution that extracts 2-dimensional data for a more realistic and error-free simulation by reproducing accurately the contour of the actual mask, in addition to the simulation results from the mask data. On the other hand, there is roughness in the silicon form made from a mass-production line. Moreover, there is variation in the silicon form. For this reason, quantification of silicon form is important, in order to estimate the performance of a pattern. In order to quantify, the same form is equalized in two dimensions. And the method of evaluating based on the form is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and silicon) and is adaptable to following fields of mask quality management. •Discrimination of nuisance defects for fine pattern. •Determination of two-dimensional variability of

  6. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  7. "Slit Mask Design for the Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph"

    Science.gov (United States)

    Williams, Darius; Marshall, Jennifer L.; Schmidt, Luke M.; Prochaska, Travis; DePoy, Darren L.

    2018-01-01

    The Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph (GMACS) is currently in development for the Giant Magellan Telescope (GMT). GMACS will employ slit masks with a usable diameter of approximately 0.450 m for the purpose of multi-slit spectroscopy. Of significant importance are the design constraints and parameters of the multi-object slit masks themselves as well as the means for mapping astronomical targets to physical mask locations. Analytical methods are utilized to quantify deformation effects on a potential slit mask due to thermal expansion and vignetting of target light cones. Finite element analysis (FEA) is utilized to simulate mask flexure in changing gravity vectors. The alpha version of the mask creation program for GMACS, GMACS Mask Simulator (GMS), a derivative of the OSMOS Mask Simulator (OMS), is introduced.

  8. GABAA agonist reduces visual awareness : a masking-EEG experiment

    NARCIS (Netherlands)

    van Loon, Anouk M; Scholte, H Steven; van Gaal, Simon; van der Hoort, Björn J J; Lamme, Victor A F

    Consciousness can be manipulated in many ways. Here, we seek to understand whether two such ways, visual masking and pharmacological intervention, share a common pathway in manipulating visual consciousness. We recorded EEG from human participants who performed a backward-masking task in which they

  9. Prediction and outcomes of impossible mask ventilation: a review of 50,000 anesthetics.

    Science.gov (United States)

    Kheterpal, Sachin; Martin, Lizabeth; Shanks, Amy M; Tremper, Kevin K

    2009-04-01

    There are no existing data regarding risk factors for impossible mask ventilation and limited data regarding its incidence. The authors sought to determine the incidence, predictors, and outcomes associated with impossible mask ventilation. The authors performed an observational study over a 4-yr period. For each adult patient undergoing a general anesthetic, preoperative patient characteristics, detailed airway physical exam, and airway outcome data were collected. The primary outcome was impossible mask ventilation defined as the inability to exchange air during bag-mask ventilation attempts, despite multiple providers, airway adjuvants, or neuromuscular blockade. Secondary outcomes included the final, definitive airway management technique and direct laryngoscopy view. The incidence of impossible mask ventilation was calculated. Independent (P impossible mask ventilation were identified by performing a logistic regression full model fit. Over a 4-yr period from 2004 to 2008, 53,041 attempts at mask ventilation were recorded. A total of 77 cases of impossible mask ventilation (0.15%) were observed. Neck radiation changes, male sex, sleep apnea, Mallampati III or IV, and presence of beard were identified as independent predictors. The receiver-operating-characteristic area under the curve for this model was 0.80 +/- 0.03. Nineteen impossible mask ventilation patients (25%) also demonstrated difficult intubation, with 15 being intubated successfully. Twelve patients required an alternative intubation technique, including two surgical airways and two patients who were awakened and underwent successful fiberoptic intubation. Impossible mask ventilation is an infrequent airway event that is associated with difficult intubation. Neck radiation changes represent the most significant clinical predictor of impossible mask ventilation in the patient dataset.

  10. Central auditory masking by an illusory tone.

    Directory of Open Access Journals (Sweden)

    Christopher J Plack

    Full Text Available Many natural sounds fluctuate over time. The detectability of sounds in a sequence can be reduced by prior stimulation in a process known as forward masking. Forward masking is thought to reflect neural adaptation or neural persistence in the auditory nervous system, but it has been unclear where in the auditory pathway this processing occurs. To address this issue, the present study used a "Huggins pitch" stimulus, the perceptual effects of which depend on central auditory processing. Huggins pitch is an illusory tonal sensation produced when the same noise is presented to the two ears except for a narrow frequency band that is different (decorrelated between the ears. The pitch sensation depends on the combination of the inputs to the two ears, a process that first occurs at the level of the superior olivary complex in the brainstem. Here it is shown that a Huggins pitch stimulus produces more forward masking in the frequency region of the decorrelation than a noise stimulus identical to the Huggins-pitch stimulus except with perfect correlation between the ears. This stimulus has a peripheral neural representation that is identical to that of the Huggins-pitch stimulus. The results show that processing in, or central to, the superior olivary complex can contribute to forward masking in human listeners.

  11. Refinement of the CALIOP cloud mask algorithm

    Science.gov (United States)

    Katagiri, Shuichiro; Sato, Kaori; Ohta, Kohei; Okamoto, Hajime

    2018-04-01

    A modified cloud mask algorithm was applied to the CALIOP data to have more ability to detect the clouds in the lower atmosphere. In this algorithm, we also adopt the fully attenuation discrimination and the remain noise estimation using the data obtained at an altitude of 40 km to avoid contamination of stratospheric aerosols. The new cloud mask shows an increase in the lower cloud fraction. Comparison of the results to the data observed with a PML ground observation was also made.

  12. Impact of thermoplastic mask on dosimetry of different radiotherapeutic beams

    International Nuclear Information System (INIS)

    Chen Lixin; Zhang Li; Qian Jianyang; Huang Xiaoyan; Lu Jie; Huang Shaomin

    2003-01-01

    Objective: To determine the influence of auxiliary thermoplastic mask on dose distribution of photon or electron beams. Methods: Using the PTW Marcus 23343 type fixed-separation parallel-plate ionization chamber in a special phantom(PMMA), the change of photon dose buildup region was measured with rectification of Bruce empirical formula. Using 3-D water phantom, the central axis percentage depth doses (PDD) of electron beams were measured with verification of the parallel-plate ionization chamber at several given depths. Results: When 8 MV X-ray was delivered through the added facial mask, the buildup region doses were increased obviously with a 25% relative increment beneath near the surface. When 8, 12, 15 MeV electron beams and mask were used, all PDD curves moved to the surface. Conclusions: The impact of thermoplastic mask on the dose increase in the X-ray buildup region, and on the PDD decrease in the electron beam target region should be paid much more attention. And the dose distribution, with an added mask, will have to be re-evaluated in 3-D conformal radiotherapy

  13. Parametric and non-parametric masking of randomness in sequence alignments can be improved and leads to better resolved trees

    Directory of Open Access Journals (Sweden)

    von Reumont Björn M

    2010-03-01

    profiling, alignment masking should routinely be used to improve tree reconstructions. Parametric methods of alignment profiling can be easily extended to more complex likelihood based models of sequence evolution which opens the possibility of further improvements.

  14. Analysis of the current density characteristics in through-mask electrochemical micromachining (TMEMM for fabrication of micro-hole arrays on invar alloy film

    Directory of Open Access Journals (Sweden)

    Da-som JIN

    2017-06-01

    Full Text Available Invar alloy consisting of 64% iron and 36% nickel has been widely used for the production of shadow masks for organic light emitting diodes (OLEDs because of its low thermal expansion coefficient (1.86 × 10−6 cm/°C. To fabricate micro-hole arrays on 30 μm invar alloy film, through-mask electrochemical micromachining (TMEMM was developed and combined with a portion of the photolithography etching process. For precise hole shapes, patterned photoresist (PR film was applied as an insulating mask. To investigate the relationship between the current density and the material removal rate, the principle of the electrochemical machining was studied with a focus on the equation. The finite element method (FEM was used to verify the influence of each parameter on the current density on the invar alloy film surface. The parameters considered were the thickness of the PR mask, inter-electrode gap (IEG, and electrolyte concentration. Design of experiments (DOE was used to figure out the contribution of each parameter. A simulation was conducted with varying parameters to figure out their relationships with the current density. Optimization was conducted to select the suitable conditions. An experiment was carried out to verify the simulation results. It was possible to fabricate micro-hole arrays on invar alloy film using TMEMM, which is a promising method that can be applied to fabrications of OLEDs shadow masks.

  15. Extracting messages masked by chaos

    International Nuclear Information System (INIS)

    Perez, G.; Cerdeira, H.A.

    1995-01-01

    We show how to extract messages that are masked by a chaotic signal in a system of two Lorenz oscillators. This mask removal is done for two different modes of transmission, a digital one where a parameter of the sender is switched between two values, and an analog mode, where a small amplitude message is added to the carrier signal. We achieve this without using a second Lorenz oscillator as receiver, and without doing a full reconstruction of the dynamics. This method is robust with respect to transformations that impede the unmasking using a Lorenz receiver, and is not affected by the broad-band noise that is inherent to the synchronization process. We also discuss the limitations of this way of extraction for messages in high frequency bands. (author). 12 refs, 4 figs

  16. High-radiance LDP source for mask inspection and beam line applications (Conference Presentation)

    Science.gov (United States)

    Teramoto, Yusuke; Santos, Bárbara; Mertens, Guido; Kops, Ralf; Kops, Margarete; von Wezyk, Alexander; Bergmann, Klaus; Yabuta, Hironobu; Nagano, Akihisa; Ashizawa, Noritaka; Taniguchi, Yuta; Yamatani, Daiki; Shirai, Takahiro; Kasama, Kunihiko

    2017-04-01

    High-throughput actinic mask inspection tools are needed as EUVL begins to enter into volume production phase. One of the key technologies to realize such inspection tools is a high-radiance EUV source of which radiance is supposed to be as high as 100 W/mm2/sr. Ushio is developing laser-assisted discharge-produced plasma (LDP) sources. Ushio's LDP source is able to provide sufficient radiance as well as cleanliness, stability and reliability. Radiance behind the debris mitigation system was confirmed to be 120 W/mm2/sr at 9 kHz and peak radiance at the plasma was increased to over 200 W/mm2/sr in the recent development which supports high-throughput, high-precision mask inspection in the current and future technology nodes. One of the unique features of Ushio's LDP source is cleanliness. Cleanliness evaluation using both grazing-incidence Ru mirrors and normal-incidence Mo/Si mirrors showed no considerable damage to the mirrors other than smooth sputtering of the surface at the pace of a few nm per Gpulse. In order to prove the system reliability, several long-term tests were performed. Data recorded during the tests was analyzed to assess two-dimensional radiance stability. In addition, several operating parameters were monitored to figure out which contributes to the radiance stability. The latest model that features a large opening angle was recently developed so that the tool can utilize a large number of debris-free photons behind the debris shield. The model was designed both for beam line application and high-throughput mask inspection application. At the time of publication, the first product is supposed to be in use at the customer site.

  17. The Q Continuum: Encounter with the Cloud Mask

    Science.gov (United States)

    Ackerman, S. A.; Frey, R.; Holz, R.; Philips, C.; Dutcher, S.

    2017-12-01

    We are developing a common cloud mask for MODIS and VIIRS observations, referred to as the MODIS VIIRS Continuity Mask (MVCM). Our focus is on extending the MODIS-heritage cloud detection approach in order to generate appropriate climate data records for clouds and climate studies. The MVCM is based on heritage from the MODIS cloud mask (MOD35 and MYD35) and employs a series of tests on MODIS reflectances and brightness temperatures. Cloud detection is based on contrasts (i.e., cloud versus background surface) at pixel resolution. The MVCM follows the same approach. These cloud masks use multiple cloud detection tests to indicate the confidence level that the observation is of a clear-sky scene. The outcome of a test ranges from 0 (cloudy) to 1 (clear-sky scene). Because of overlap in the sensitivities of the various spectral tests to the type of cloud, each test is considered in one of several groups. The final cloud mask is determined from the product of the minimum confidence of each group and is referred to as the Q value as defined in Ackerman et al (1998). In MOD35 and MYD35 processing, the Q value is not output, rather predetermined Q values determine the result: If Q ≥ .99 the scene is clear; .95 ≤ Q laws of physics are followed, at least according to normal human notions. Using CALIOP as representing truth, a receiver operating characteristic curve (ROC) will be analyzed to determine the optimum Q for various scenes and seasons, thus providing a continuum of discriminating thresholds.

  18. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  19. Ion distribution near a mask edge with arbitrary shape for VLSI IC applications

    International Nuclear Information System (INIS)

    Lutsch, A.G.K.; Oosthuizen, D.R.

    1985-01-01

    The profile of the mask edge during ion implantation determines the electrical field in the critical drain region of a MOS-transistor. Equal ion density lines are computed for various mask edges for the example of boron implanted into silicon at 70 keV. Four moments of the impurity depth distribution (without mask material are taken into consideration. Homogenisation and, therefore a higher noise immunity, can be obtained by the proper choice of the mask etching process. The influence of a too-thin mask material is also shown. (author)

  20. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  1. Selective phase masking to reduce material saturation in holographic data storage systems

    Science.gov (United States)

    Phillips, Seth; Fair, Ivan

    2014-09-01

    Emerging networks and applications require enormous data storage. Holographic techniques promise high-capacity storage, given resolution of a few remaining technical issues. In this paper, we propose a technique to overcome one such issue: mitigation of large magnitude peaks in the stored image that cause material saturation resulting in readout errors. We consider the use of ternary data symbols, with modulation in amplitude and phase, and use a phase mask during the encoding stage to reduce the probability of large peaks arising in the stored Fourier domain image. An appropriate mask is selected from a predefined set of pseudo-random masks by computing the Fourier transform of the raw data array as well as the data array multiplied by each mask. The data array or masked array with the lowest Fourier domain peak values is recorded. On readout, the recorded array is multiplied by the mask used during recording to recover the original data array. Simulations are presented that demonstrate the benefit of this approach, and provide insight into the appropriate number of phase masks to use in high capacity holographic data storage systems.

  2. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  3. Error response test system and method using test mask variable

    Science.gov (United States)

    Gender, Thomas K. (Inventor)

    2006-01-01

    An error response test system and method with increased functionality and improved performance is provided. The error response test system provides the ability to inject errors into the application under test to test the error response of the application under test in an automated and efficient manner. The error response system injects errors into the application through a test mask variable. The test mask variable is added to the application under test. During normal operation, the test mask variable is set to allow the application under test to operate normally. During testing, the error response test system can change the test mask variable to introduce an error into the application under test. The error response system can then monitor the application under test to determine whether the application has the correct response to the error.

  4. Effectiveness of three just-in-time training modalities for N-95 mask fit testing.

    Science.gov (United States)

    Jones, David; Stoler, Genevieve; Suyama, Joe

    2013-01-01

    To compare and contrast three different training modalities for fit testing N-95 respirator face masks. Block randomized interventional study. Urban university. Two hundred eighty-nine medical students. Students were randomly assigned to video, lecture, or slide show to evaluate the effectiveness of the methods for fit testing large groups of people. Ease of fit and success of fit for each instructional technique. Mask 1 was a Kimberly-Clark duckbill N-95 respirator mask, and mask 2 was a 3M™ carpenters N-95 respirator mask. "Ease of fit" was defined as the ability to successfully don a mask in less than 30 seconds. "Success of fit" was defined as the ability to correctly don a mask in one try. There were no statistical differences by training modality for either mask regarding ease of fit or success of fit. There were no differences among video presentation, small group demonstration, and self-directed slide show just-in-time training modalities for ease of fit or success of fit N-95 respirator mask fitting. Further study is needed to explore more effective fit training modalities.

  5. AutoMOPS- B2B and B2C in mask making: Mask manufacturing performance and customer satisfaction improvement through better information flow management using generic models and standardized languages

    Science.gov (United States)

    Filies, Olaf; de Ridder, Luc; Rodriguez, Ben; Kujiken, Aart

    2002-03-01

    Semiconductor manufacturing has become a global business, in which companies of different size unite in virtual enterprises to meet new opportunities. Therefore Mask manufacturing is a key business, but mask ordering is a complex process and is always critical regarding design to market time, even though mask complexity and customer base are increasing using a wide variety of different mask order forms which are frequently faulty and very seldom complete. This is effectively blocking agile manufacturing and can tie wafer fabs to a single mask The goal of the project is elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and production stages, which will allow automation of the mask preparation. To cover these new techniques and their specifications as well as the common ones with automated tools a special generic Meta-model will be generated, based on the current standards for mask specifications, including the requirements from the involved partners (Alcatel Microelectronics, Altis, Compugraphics, Infineon, Nimble, Sigma-C), the project works out a pre-normative standard. The paper presents the current status of work. This work is partly funded by the Commission of the European Union under the Fifth Framework project IST-1999-10332 AutoMOPS.

  6. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

    Science.gov (United States)

    Lee, Kyung M.; Yedur, Sanjay; Henrichs, Sven; Tavassoli, Malahat; Baik, Kiho

    2007-03-01

    Evaluation of lithography process or stepper involves very large quantity of CD measurements and measurement time. In this paper, we report on a application of Scatterometry based metrology for evaluation of binary photomask lithography. Measurements were made on mask level with ODP scatterometer then on wafer with CD-SEM. 4 to 1 scaling from mask to wafer means 60nm line on wafer translates to 240nm on mask, easily measurable on ODP. Calculation of scatterometer profile information was performed by a in-situ library-based analysis (5sec/site). We characterized the CD uniformity, linearity, and metal film thickness uniformity. Results show that linearity measured from fixed-pitch, varying line/space ratio targets show good correlation to top-down CD-SEM with R2 of more than 0.99. ODP-SEM correlation results for variable pitch shows that careful examination of scatterometer profile results in order to obtain better correlation to CD SEM, since both tools react differently to the target profile variation. ODP results show that global CD distribution is clearly measurable with less outliers compared to CD SEM data. This is thought to be due to 'averaging' effect of scatterometer. The data show that Scatterometry provides a nondestructive and faster mean of characterizing lithography stepper performanceprofiles. APSM 1st level (before Cr removal) 'dual-space' CDs and EPSM rectangular contacts were also measured with and results demonstrates that Scatterometer is capable of measuring these targets with reasonable correlation to SEM.

  7. 37 CFR 211.4 - Registration of claims of protection in mask works.

    Science.gov (United States)

    2010-07-01

    ... protection in mask works. 211.4 Section 211.4 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.4 Registration of claims of protection in mask works. (a) General. This section prescribes conditions for the registration of claims of...

  8. Silicon microneedle formation using modified mask designs based on convex corner undercut

    Science.gov (United States)

    Wilke, N.; Morrissey, A.

    2007-02-01

    In this work, we present microneedle fabrication using the mechanism of silicon convex corner undercutting for modified etch masks in aqueous KOH solution (29% KOH, 79 °C). The presented modified mask designs include three different shapes, as well as different compensation structures applied to a square mask shape. We have found that square mask shapes present an optimum needle structure in contrast to circular or diamond shapes. The use of compensation structures facilitates an increase in needle density of 33-50% over that otherwise achieved.

  9. Schizophrenia and visual backward masking: a general deficit of target enhancement

    Directory of Open Access Journals (Sweden)

    Michael H Herzog

    2013-05-01

    Full Text Available The obvious symptoms of schizophrenia are of cognitive and psychopathological nature. However, schizophrenia affects also visual processing which becomes particularly evident when stimuli are presented for short durations and are followed by a masking stimulus. Visual deficits are of great interest because they might be related to the genetic variations underlying the disease (endophenotype concept. Visual masking deficits are usually attributed to specific dysfunctions of the visual system such as a hypo- or hyper-active magnocellular system. Here, we propose that visual deficits are a manifestation of a general deficit related to the enhancement of weak neural signals as occurring in all other sorts of information processing. We summarize previous findings with the shine-through masking paradigm where a shortly presented vernier target is followed by a masking grating. The mask deteriorates visual processing of schizophrenic patients by almost an order of magnitude compared to healthy controls. We propose that these deficits are caused by dysfunctions of attention and the cholinergic system leading to weak neural activity corresponding to the vernier. High density electrophysiological recordings (EEG show that indeed neural activity is strongly reduced in schizophrenic patients which we attribute to the lack of vernier enhancement. When only the masking grating is presented, EEG responses are roughly comparable between patients and control. Our hypothesis is supported by findings relating visual masking to genetic deviants of the nicotinic 7 receptor (CHRNA7.

  10. Professional and home-made face masks reduce exposure to respiratory infections among the general population.

    Science.gov (United States)

    van der Sande, Marianne; Teunis, Peter; Sabel, Rob

    2008-07-09

    Governments are preparing for a potential influenza pandemic. Therefore they need data to assess the possible impact of interventions. Face-masks worn by the general population could be an accessible and affordable intervention, if effective when worn under routine circumstances. We assessed transmission reduction potential provided by personal respirators, surgical masks and home-made masks when worn during a variety of activities by healthy volunteers and a simulated patient. All types of masks reduced aerosol exposure, relatively stable over time, unaffected by duration of wear or type of activity, but with a high degree of individual variation. Personal respirators were more efficient than surgical masks, which were more efficient than home-made masks. Regardless of mask type, children were less well protected. Outward protection (mask wearing by a mechanical head) was less effective than inward protection (mask wearing by healthy volunteers). Any type of general mask use is likely to decrease viral exposure and infection risk on a population level, in spite of imperfect fit and imperfect adherence, personal respirators providing most protection. Masks worn by patients may not offer as great a degree of protection against aerosol transmission.

  11. 42 CFR 84.118 - Half-mask facepieces, full facepieces, and mouthpieces; fit; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Half-mask facepieces, full facepieces, and... OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.118 Half-mask facepieces, full facepieces, and mouthpieces; fit; minimum requirements. (a) Half-mask facepieces and full facepieces shall be designed and...

  12. Nablus mask-like facial syndrome

    DEFF Research Database (Denmark)

    Allanson, Judith; Smith, Amanda; Hare, Heather

    2012-01-01

    Nablus mask-like facial syndrome (NMLFS) has many distinctive phenotypic features, particularly tight glistening skin with reduced facial expression, blepharophimosis, telecanthus, bulky nasal tip, abnormal external ear architecture, upswept frontal hairline, and sparse eyebrows. Over the last few...

  13. Availability, consistency and evidence-base of policies and guidelines on the use of mask and respirator to protect hospital health care workers: a global analysis.

    Science.gov (United States)

    Chughtai, Abrar Ahmad; Seale, Holly; MacIntyre, Chandini Raina

    2013-05-31

    Currently there is an ongoing debate and limited evidence on the use of masks and respirators for the prevention of respiratory infections in health care workers (HCWs). This study aimed to examine available policies and guidelines around the use of masks and respirators in HCWs and to describe areas of consistency between guidelines, as well as gaps in the recommendations, with reference to the WHO and the CDC guidelines. Policies and guidelines related to mask and respirator use for the prevention of influenza, SARS and TB were examined. Guidelines from the World Health Organization (WHO), the Center for Disease Control and Prevention (CDC), three high-income countries and six low/middle-income countries were selected. Uniform recommendations are made by the WHO and the CDC in regards to protecting HCWs against seasonal influenza (a mask for low risk situations and a respirator for high risk situations) and TB (use of a respirator). However, for pandemic influenza and SARS, the WHO recommends mask use in low risk and respirators in high risk situations, whereas, the CDC recommends respirators in both low and high risk situations. Amongst the nine countries reviewed, there are variations in the recommendations for all three diseases. While, some countries align with the WHO recommendations, others align with those made by the CDC. The choice of respirator and the level of filtering ability vary amongst the guidelines and the different diseases. Lastly, none of the policies discuss reuse, extended use or the use of cloth masks. Currently, there are significant variations in the policies and recommendations around mask and respirator use for protection against influenza, SARS and TB. These differences may reflect the scarcity of level-one evidence available to inform policy development. The lack of any guidelines on the use of cloth masks, despite widespread use in many low and middle-income countries, remains a policy gap. Health organizations and countries should

  14. Linguistic contributions to speech-on-speech masking for native and non-native listeners: Language familiarity and semantic content

    Science.gov (United States)

    Brouwer, Susanne; Van Engen, Kristin J.; Calandruccio, Lauren; Bradlow, Ann R.

    2012-01-01

    This study examined whether speech-on-speech masking is sensitive to variation in the degree of similarity between the target and the masker speech. Three experiments investigated whether speech-in-speech recognition varies across different background speech languages (English vs Dutch) for both English and Dutch targets, as well as across variation in the semantic content of the background speech (meaningful vs semantically anomalous sentences), and across variation in listener status vis-à-vis the target and masker languages (native, non-native, or unfamiliar). The results showed that the more similar the target speech is to the masker speech (e.g., same vs different language, same vs different levels of semantic content), the greater the interference on speech recognition accuracy. Moreover, the listener’s knowledge of the target and the background language modulate the size of the release from masking. These factors had an especially strong effect on masking effectiveness in highly unfavorable listening conditions. Overall this research provided evidence that that the degree of target-masker similarity plays a significant role in speech-in-speech recognition. The results also give insight into how listeners assign their resources differently depending on whether they are listening to their first or second language. PMID:22352516

  15. A novel OPC method to reduce mask volume with yield-aware dissection

    International Nuclear Information System (INIS)

    Xie Chunlei; Chen Ye; Shi Zheng

    2013-01-01

    Growing data volume of masks tremendously increases manufacture cost. The cost increase is partially due to the complicated optical proximity corrections applied on mask design. In this paper, a yield-aware dissection method is presented. Based on the recognition of yield related mask context, the dissection result provides sufficient degrees of freedom to keep fidelity on critical sites while still retaining the frugality of modified designs. Experiments show that the final mask volume using the new method is reduced to about 50% of the conventional method. (semiconductor technology)

  16. Artifact Reduction of Susceptibility-Weighted Imaging Using a Short-Echo Phase Mask

    International Nuclear Information System (INIS)

    Ishimori, Y.; Monma, M.; Kohno, Y.

    2009-01-01

    Background: Susceptibility-weighted imaging (SWI) is utilized in magnetic resonance (MR) venography and other applications, but can include artifacts caused by the phase-masking process. Purpose: To demonstrate risks of filter processes used in making phase masks for SWI, and to propose a simple method for reducing artifacts. Material and Methods: Phase linearity related to echo time (TE) was evaluated for the original phase and high-pass-filtered phase using a CuSO 4 -doped water phantom. Effect of filter size of the Hanning window and background homogeneity were also evaluated in a phantom study. Use of a phase mask generated by data with differing magnitudes of TE was attempted in a human study. Shorter TE was used for making the phase mask, and the number of multiplications was increased. As short and long TEs were necessary simultaneously for phase mask and T2* contrast, a dual-echo technique was used. Results: Linearity of TE and phase value collapsed, and an unexpected negative phase appeared in the high-pass-filtered phase. Using a short-TE phase mask, phase-aliasing artifacts were reduced and visibility of deep veins was equivalent to that under conventional methods with an increased number of multiplications. Conclusion: Use of a short-echo phase mask in SWI is useful for reducing artifacts

  17. High-emulation mask recognition with high-resolution hyperspectral video capture system

    Science.gov (United States)

    Feng, Jiao; Fang, Xiaojing; Li, Shoufeng; Wang, Yongjin

    2014-11-01

    We present a method for distinguishing human face from high-emulation mask, which is increasingly used by criminals for activities such as stealing card numbers and passwords on ATM. Traditional facial recognition technique is difficult to detect such camouflaged criminals. In this paper, we use the high-resolution hyperspectral video capture system to detect high-emulation mask. A RGB camera is used for traditional facial recognition. A prism and a gray scale camera are used to capture spectral information of the observed face. Experiments show that mask made of silica gel has different spectral reflectance compared with the human skin. As multispectral image offers additional spectral information about physical characteristics, high-emulation mask can be easily recognized.

  18. Akathisia masked by hypokinesia.

    Science.gov (United States)

    Tuisku, K; Lauerma, H; Holi, M M; Honkonen, T; Rimon, R

    2000-07-01

    Here, we will discuss the concept of subjective akathisia and present a patient case. Our patient was suffering from neuroleptic-induced hypokinesia and akathisia at the same time. The typical motor manifestations of akathisia were masked by hypokinesia, which made the diagnosis difficult. However, the subjective symptoms of akathisia were evident and distressing. Although not observable to bare eye, the pathognomonic pattern of motor activity detected in akathisia was demonstrated by actometric recording. Changing the conventional neuroleptic to an atypical one brought relief to the subjective symptoms of akathisia and hypokinesia, while the motor activity was clearly diminished in actometric recording. Actometric recording may be useful in diagnosing akathisia masked by hypokinesia, but the typical subjective symptoms of akathisia should not be ignored, even when actometry is not available to demonstrate the missing motor component of akathisia. Not only akathisia defined by DSM-IV but also subjective akathisia should be adequately treated to relieve the subjective distress, and to diminish the unfavorable effects on psychotic symptoms, behavior, and drug compliance.

  19. Hot-melt extrusion microencapsulation of quercetin for taste-masking.

    Science.gov (United States)

    Khor, Chia Miang; Ng, Wai Kiong; Kanaujia, Parijat; Chan, Kok Ping; Dong, Yuancai

    2017-02-01

    Besides its poor dissolution rate, the bitterness of quercetin also poses a challenge for further development. Using carnauba wax, shellac or zein as the shell-forming excipient, this work aimed to microencapsulate quercetin by hot-melt extrusion for taste-masking. In comparison with non-encapsulated quercetin, the microencapsulated powders exhibited significantly reduced dissolution in the simulated salivary pH 6.8 medium indicative of their potentially good taste-masking efficiency in the order of zein > carnauba wax > shellac. In vitro bitterness analysis by electronic tongue confirmed the good taste-masking efficiency of the microencapsulated powders. In vitro digestion results showed that carnauba wax and shellac-microencapsulated powders presented comparable dissolution rate with the pure quercetin in pH 1.0 (gastric) and 6.8 (intestine) medium; while zein-microencapsulated powders exhibited a remarkably slower dissolution rate. Crystallinity of quercetin was slightly reduced after microencapsulation while its chemical structure remained unchanged. Hot-melt extrusion microencapsulation could thus be an attractive technique to produce taste-masked bioactive powders.

  20. A survey of practice patterns in the use of laryngeal mask by pediatric anesthesiologists.

    Science.gov (United States)

    Patel, Anuradha; Clark, Scott R; Schiffmiller, Moshe; Schoenberg, Catherine; Tewfik, George

    2015-11-01

    Laryngeal mask is frequently the airway device of choice in routine general anesthesia for many procedures in children. Several studies have described the use of laryngeal masks in unconventional situations. This survey was undertaken to assess how laryngeal masks are being used by pediatric anesthesiologists. The 40-question electronic survey using SurveyMonkey™ was sent to 2740 members of the Society for Pediatric Anesthesia (SPA). This survey assessed the age, work environment, types of practice, and training levels, as well as clinical situations in which the practitioners use laryngeal masks across different pediatric age groups. Seven hundred and forty-three (27.1%) responses were obtained. The use of laryngeal mask increased as the patient age increased in nearly every queried situation. The practitioners routinely utilize laryngeal masks in a variety of challenging scenarios, such as in patients with a recent upper respiratory infection, in the difficult airway, remote locations, and long-duration surgeries. A small percentage of pediatric anesthesiologists use laryngeal masks in laparoscopic surgery and prone position procedures. Pediatric anesthesiologists are using laryngeal masks in both routine and challenging/unconventional situations. Although many of the uses for laryngeal masks are not explicitly stated in the manufacturer guidelines, literature and current practice support the use of laryngeal masks in several of these scenarios. © 2015 John Wiley & Sons Ltd.

  1. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  2. Thermosensitive Hydrogel Mask Significantly Improves Skin Moisture and Skin Tone; Bilateral Clinical Trial

    Directory of Open Access Journals (Sweden)

    Anna Quattrone

    2017-06-01

    Full Text Available Objective: A temperature-sensitive state-changing hydrogel mask was used in this study. Once it comes into contact with the skin and reaches the body temperature, it uniformly and quickly releases the active compounds, which possess moisturizing, anti-oxidant, anti-inflammatory and regenerative properties. Methods: An open label clinical trial was conducted to evaluate the effects of the test product on skin hydration, skin tone and skin ageing. Subjects applied the product to one side of their face and underwent Corneometer® and Chromameter measurements, Visual assessment of facial skin ageing and facial photography. All assessments and Self-Perception Questionnaires (SPQ were performed at baseline, after the first application of the test product and after four applications. Results: After a single treatment we observed an increase in skin moisturisation, an improvement of skin tone/luminosity and a reduction in signs of ageing, all statistically significant. After four applications a further improvement in all measured parameters was recorded. These results were confirmed by the subjects’ own perceptions, as reported in the SPQ both after one and four applications. Conclusion: The hydrogel mask tested in this study is very effective in improving skin hydration, skin radiance and luminosity, in encouraging an even skin tone and in reducing skin pigmentation.

  3. Protection provided by masks sinkers in interventional techniques

    International Nuclear Information System (INIS)

    Pera Cegarra, O.; Alejo Luque, L.; Pifarre Martinez, J.

    2011-01-01

    The high doses that are taught in laboratories worked indispensable the use of shields and armor. In this context, the use of sinkers glasses is widespread, but not the sinkers of the masks. Qur goal is to study the effectiveness of such masks for later comparison with that provided by leaded glasses with side shields. Specifically, compare the reduction in lens dose rate for different positions and orientations of the head of specialist intervention.

  4. Masked hypertension in diabetes mellitus

    DEFF Research Database (Denmark)

    Franklin, Stanley S; Thijs, Lutgarde; Li, Yan

    2013-01-01

    Although distinguishing features of masked hypertension in diabetics are well known, the significance of antihypertensive treatment on clinical practice decisions has not been fully explored. We analyzed 9691 subjects from the population-based 11-country International Database on Ambulatory Blood...

  5. Method for validating cloud mask obtained from satellite measurements using ground-based sky camera.

    Science.gov (United States)

    Letu, Husi; Nagao, Takashi M; Nakajima, Takashi Y; Matsumae, Yoshiaki

    2014-11-01

    Error propagation in Earth's atmospheric, oceanic, and land surface parameters of the satellite products caused by misclassification of the cloud mask is a critical issue for improving the accuracy of satellite products. Thus, characterizing the accuracy of the cloud mask is important for investigating the influence of the cloud mask on satellite products. In this study, we proposed a method for validating multiwavelength satellite data derived cloud masks using ground-based sky camera (GSC) data. First, a cloud cover algorithm for GSC data has been developed using sky index and bright index. Then, Moderate Resolution Imaging Spectroradiometer (MODIS) satellite data derived cloud masks by two cloud-screening algorithms (i.e., MOD35 and CLAUDIA) were validated using the GSC cloud mask. The results indicate that MOD35 is likely to classify ambiguous pixels as "cloudy," whereas CLAUDIA is likely to classify them as "clear." Furthermore, the influence of error propagations caused by misclassification of the MOD35 and CLAUDIA cloud masks on MODIS derived reflectance, brightness temperature, and normalized difference vegetation index (NDVI) in clear and cloudy pixels was investigated using sky camera data. It shows that the influence of the error propagation by the MOD35 cloud mask on the MODIS derived monthly mean reflectance, brightness temperature, and NDVI for clear pixels is significantly smaller than for the CLAUDIA cloud mask; the influence of the error propagation by the CLAUDIA cloud mask on MODIS derived monthly mean cloud products for cloudy pixels is significantly smaller than that by the MOD35 cloud mask.

  6. Nursing Services Delivery Theory: an open system approach

    Science.gov (United States)

    Meyer, Raquel M; O’Brien-Pallas, Linda L

    2010-01-01

    meyer r.m. & o’brien-pallas l.l. (2010)Nursing services delivery theory: an open system approach. Journal of Advanced Nursing66(12), 2828–2838. Aim This paper is a discussion of the derivation of the Nursing Services Delivery Theory from the application of open system theory to large-scale organizations. Background The underlying mechanisms by which staffing indicators influence outcomes remain under-theorized and unmeasured, resulting in a ‘black box’ that masks the nature and organization of nursing work. Theory linking nursing work, staffing, work environments, and outcomes in different settings is urgently needed to inform management decisions about the allocation of nurse staffing resources in organizations. Data sources A search of CINAHL and Business Source Premier for the years 1980–2008 was conducted using the following terms: theory, models, organization, organizational structure, management, administration, nursing units, and nursing. Seminal works were included. Discussion The healthcare organization is conceptualized as an open system characterized by energy transformation, a dynamic steady state, negative entropy, event cycles, negative feedback, differentiation, integration and coordination, and equifinality. The Nursing Services Delivery Theory proposes that input, throughput, and output factors interact dynamically to influence the global work demands placed on nursing work groups at the point of care in production subsystems. Implications for nursing The Nursing Services Delivery Theory can be applied to varied settings, cultures, and countries and supports the study of multi-level phenomena and cross-level effects. Conclusion The Nursing Services Delivery Theory gives a relational structure for reconciling disparate streams of research related to nursing work, staffing, and work environments. The theory can guide future research and the management of nursing services in large-scale healthcare organizations. PMID:20831573

  7. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    OpenAIRE

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustai...

  8. Frequency-specific masking effect by vibrotactile stimulation to the forearm

    NARCIS (Netherlands)

    Tanaka, Y.; Matsuoka, S.; Bergmann Tiest, W.M.; Kappers, A.M.L.; Minamizawa, K.; Sano, A.; Bello, F.; Kajimoto, H.; Visell, Y.

    2016-01-01

    This paper demonstrates frequency-specific masking of tactile sensations on the index finger by remote vibrotactile stimulation. A vibration of 50 Hz was presented to the index finger. In three experimental conditions, the detection threshold for this vibration was determined with a masking

  9. Disposable surgical face masks for preventing surgical wound infection in clean surgery

    Directory of Open Access Journals (Sweden)

    Allyson Lipp

    Full Text Available BACKGROUND: Surgical face masks were originally developed to contain and filter droplets containing microorganisms expelled from the mouth and nasopharynx of healthcare workers during surgery, thereby providing protection for the patient. However, there are several ways in which surgical face masks could potentially contribute to contamination of the surgical wound, e.g. by incorrect wear or by leaking air from the side of the mask due to poor string tension. OBJECTIVES: To determine whether disposable surgical face masks worn by the surgical team during clean surgery prevent postoperative surgical wound infection. SEARCH METHODS: We searched The Cochrane Wounds Group Specialised Register (searched 14 September 2011; The Cochrane Central Register of Controlled Trials (CENTRAL (The Cochrane Library 2011, Issue 3; Ovid MEDLINE (2008 to August Week 5 2011; Ovid MEDLINE (In-Process &Other Non-Indexed Citations September 13, 2011; Ovid EMBASE (2008 to 2011 Week 35; and EBSCO CINAHL (2008 to 9 September 2011. SELECTION CRITERIA: Randomized controlled trials (RCTs and quasi-randomized controlled trials comparing the use of disposable surgical masks with the use of no mask. DATA COLLECTION AND ANALYSIS: Two review authors extracted data independently. MAIN RESULTS: Three trials were included, involving a total of 2113 participants. There was no statistically significant difference in infection rates between the masked and unmasked group in any of the trials. AUTHORS' CONCLUSIONS: From the limited results it is unclear whether the wearing of surgical face masks by members of the surgical team has any impact on surgical wound infection rates for patients undergoing clean surgery.

  10. Face mask sampling for the detection of Mycobacterium tuberculosis in expelled aerosols.

    Science.gov (United States)

    Williams, Caroline M L; Cheah, Eddy S G; Malkin, Joanne; Patel, Hemu; Otu, Jacob; Mlaga, Kodjovi; Sutherland, Jayne S; Antonio, Martin; Perera, Nelun; Woltmann, Gerrit; Haldar, Pranabashis; Garton, Natalie J; Barer, Michael R

    2014-01-01

    Although tuberculosis is transmitted by the airborne route, direct information on the natural output of bacilli into air by source cases is very limited. We sought to address this through sampling of expelled aerosols in face masks that were subsequently analyzed for mycobacterial contamination. In series 1, 17 smear microscopy positive patients wore standard surgical face masks once or twice for periods between 10 minutes and 5 hours; mycobacterial contamination was detected using a bacteriophage assay. In series 2, 19 patients with suspected tuberculosis were studied in Leicester UK and 10 patients with at least one positive smear were studied in The Gambia. These subjects wore one FFP30 mask modified to contain a gelatin filter for one hour; this was subsequently analyzed by the Xpert MTB/RIF system. In series 1, the bacteriophage assay detected live mycobacteria in 11/17 patients with wearing times between 10 and 120 minutes. Variation was seen in mask positivity and the level of contamination detected in multiple samples from the same patient. Two patients had non-tuberculous mycobacterial infections. In series 2, 13/20 patients with pulmonary tuberculosis produced positive masks and 0/9 patients with extrapulmonary or non-tuberculous diagnoses were mask positive. Overall, 65% of patients with confirmed pulmonary mycobacterial infection gave positive masks and this included 3/6 patients who received diagnostic bronchoalveolar lavages. Mask sampling provides a simple means of assessing mycobacterial output in non-sputum expectorant. The approach shows potential for application to the study of airborne transmission and to diagnosis.

  11. Expanding the printable design space for lithography processes utilizing a cut mask

    Science.gov (United States)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  12. Automatic pattern localization across layout database and photolithography mask

    Science.gov (United States)

    Morey, Philippe; Brault, Frederic; Beisser, Eric; Ache, Oliver; Röth, Klaus-Dieter

    2016-03-01

    Advanced process photolithography masks require more and more controls for registration versus design and critical dimension uniformity (CDU). The distribution of the measurement points should be distributed all over the whole mask and may be denser in areas critical to wafer overlay requirements. This means that some, if not many, of theses controls should be made inside the customer die and may use non-dedicated patterns. It is then mandatory to access the original layout database to select patterns for the metrology process. Finding hundreds of relevant patterns in a database containing billions of polygons may be possible, but in addition, it is mandatory to create the complete metrology job fast and reliable. Combining, on one hand, a software expertise in mask databases processing and, on the other hand, advanced skills in control and registration equipment, we have developed a Mask Dataprep Station able to select an appropriate number of measurement targets and their positions in a huge database and automatically create measurement jobs on the corresponding area on the mask for the registration metrology system. In addition, the required design clips are generated from the database in order to perform the rendering procedure on the metrology system. This new methodology has been validated on real production line for the most advanced process. This paper presents the main challenges that we have faced, as well as some results on the global performances.

  13. The Effect of a Diving Mask on Intraocular Pressure in a Healthy Population

    Directory of Open Access Journals (Sweden)

    Catherina Josephine Goenadi

    2016-06-01

    Full Text Available Purpose: Swimming goggles increase the intraocular pressure (IOP via the periorbital frame pressure and suction effect. In comparison, diving masks have a larger frame rim and incorporate the nose. The exact effect(s of diving masks on IOP is unknown. This study evaluates the influence of diving masks on IOP in normal, healthy subjects. Methods: Tonometry was performed in both eyes of all subjects with an AVIA®Tono-Pen by a single investigator. Measurements were taken at baseline without the diving mask and with the subjects wearing a small-volume, double-window diving mask, but with the mask lenses removed. Two IOP readings in each eye were measured, and an additional reading was measured if the difference between the initial 2 was ≥2 mm Hg. Central corneal thickness (CCT was also measured in each eye, using a contact pachymeter (OcuScan®Alcon. Results: Forty eyes of 20 healthy volunteers (age 29.7 ± 9.3 years; range 21–52 were included. The mean CCT was 544.4 ± 43.5 µm. The mean IOP before the diving mask was worn had been 17.23 ± 2.18 mm Hg (n = 40. The IOP decreased by 0.43 mm Hg (p < 0.05 to 16.80 ± 2.57 mm Hg after the diving mask had been put on. There was no correlation between IOP change and age (r = 0.143, p = 0.337, gender (r = –0.174, p = 0.283 or CCT (r = –0.123, p = 0.445. Conclusion: There was no increase in IOP after the diving mask had been worn. A small but statistically significant decrease in IOP was observed. This study demonstrates that unlike swimming goggles, the strap tension and frame pressure on the periorbital tissue from a diving mask does not increase IOP. Diving masks may be a suitable alternative to swimming goggles for patients with advanced glaucoma or glaucoma filtration surgery.

  14. Analysis and Improvement of the Generic Higher-Order Masking Scheme of FSE 2012

    OpenAIRE

    Roy, Arnab; Venkatesh, Srinivas Vivek

    2013-01-01

    Masking is a well-known technique used to prevent block cipher implementations from side-channel attacks. Higher-order side channel attacks (e.g. higher-order DPA attack) on widely used block cipher like AES have motivated the design of efficient higher-order masking schemes. Indeed, it is known that as the masking order increases, the difficulty of side-channel attack increases exponentially. However, the main problem in higher-order masking is to design an efficient and secure technique for...

  15. Artifact Reduction of Susceptibility-Weighted Imaging Using a Short-Echo Phase Mask

    Energy Technology Data Exchange (ETDEWEB)

    Ishimori, Y.; Monma, M. (Dept. of Radiological Sciences, Ibaraki Prefectural Univ. of Health Sciences, Inashiki-gun, Ibaraki (Japan)); Kohno, Y. (Dept. of Neurology, Ibaraki Prefectural Univ. of Health Sciences, Inashiki-gun, Ibaraki (Japan))

    2009-11-15

    Background: Susceptibility-weighted imaging (SWI) is utilized in magnetic resonance (MR) venography and other applications, but can include artifacts caused by the phase-masking process. Purpose: To demonstrate risks of filter processes used in making phase masks for SWI, and to propose a simple method for reducing artifacts. Material and Methods: Phase linearity related to echo time (TE) was evaluated for the original phase and high-pass-filtered phase using a CuSO{sub 4}-doped water phantom. Effect of filter size of the Hanning window and background homogeneity were also evaluated in a phantom study. Use of a phase mask generated by data with differing magnitudes of TE was attempted in a human study. Shorter TE was used for making the phase mask, and the number of multiplications was increased. As short and long TEs were necessary simultaneously for phase mask and T2 contrast, a dual-echo technique was used. Results: Linearity of TE and phase value collapsed, and an unexpected negative phase appeared in the high-pass-filtered phase. Using a short-TE phase mask, phase-aliasing artifacts were reduced and visibility of deep veins was equivalent to that under conventional methods with an increased number of multiplications. Conclusion: Use of a short-echo phase mask in SWI is useful for reducing artifacts

  16. Metal oxide multilayer hard mask system for 3D nanofabrication

    Science.gov (United States)

    Han, Zhongmei; Salmi, Emma; Vehkamäki, Marko; Leskelä, Markku; Ritala, Mikko

    2018-02-01

    We demonstrate the preparation and exploitation of multilayer metal oxide hard masks for lithography and 3D nanofabrication. Atomic layer deposition (ALD) and focused ion beam (FIB) technologies are applied for mask deposition and mask patterning, respectively. A combination of ALD and FIB was used and a patterning procedure was developed to avoid the ion beam defects commonly met when using FIB alone for microfabrication. ALD grown Al2O3/Ta2O5/Al2O3 thin film stacks were FIB milled with 30 keV gallium ions and chemically etched in 5% tetramethylammonium hydroxide at 50 °C. With metal evaporation, multilayers consisting of amorphous oxides Al2O3 and Ta2O5 can be tailored for use in 2D lift-off processing, in preparation of embedded sub-100 nm metal lines and for multilevel electrical contacts. Good pattern transfer was achieved by lift-off process from the 2D hard mask for micro- and nano-scaled fabrication. As a demonstration of the applicability of this method to 3D structures, self-supporting 3D Ta2O5 masks were made from a film stack on gold particles. Finally, thin film resistors were fabricated by utilizing controlled stiction of suspended Ta2O5 structures.

  17. Vitreous carbon mask substrate for X-ray lithography

    Science.gov (United States)

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  18. Experiments on the evaluation of protection provided by full-face masks as worn

    International Nuclear Information System (INIS)

    Kinouchi, Nobuyuki; Ikezawa, Yoshio; Izumi, Yukio.

    1991-01-01

    The performance of a dust respirator is affected mostly by the leakage through the interstice between mask and face. Mask man-test using NaCl aerosols has been developed to evaluate protection provided by worn masks. However, there were some problems in application of man-test to field test. The sampling method of the NaCl aerosols inside the mask was discussed. The tool for sampling operated easily was selected, and the best sampling position at the mask was decided. The correction of the measured values was also discussed. Because of NaCl aerosols deposition in the respiratory tract and sampling lines, the leakage might be underestimated. The correction coefficient was experimentally measured and discussed. (author)

  19. Increased masked hypertension prevalence in patients with obesity.

    Science.gov (United States)

    Özkan, Selçuk; Ata, Naim; Yavuz, Bunyamin

    2018-02-08

    Masked hypertension is associated with an increased risk for cardiovascular conditions. The aim of the study was to evaluate the relationship obesity parameters, including body weight, waist circumference, and body mass index. The study group consisted of 251 consecutive outpatient subjects without overt hypertension. Subjects were classified according to BMI. After a complete medical history and laboratory examination, patients' height, weight, waist circumference heart rate, and office blood pressure were recorded. All subjects underwent ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of Masked hypertension was significantly higher in patients with obesity than controls (30.9% vs 5.7%, p < 0.001). Body mass index (33.2 ± 4.3 vs 25.1 ± 2.7 p < 0.001), waist circumference (98.5 ± 11.7 vs 86.8 ± 8.8, p < 0.001), and weight (86.5 ± 11.8 vs. 69 ± 9.1, p < 0.001) in patients with obesity were significantly higher than in patients with normal weight. Office Systolic BP (121.8 ± 4.4 vs 120.5 ± 4.78, p = 0.035), ambulatory daytime systolic BP (128.8 ± 8.9 vs 124.5 ± 7.4, p < 0.001), ambulatory daytime diastolic BP (73.9 ± 9.5 vs 71.5 ± 7.0, p = 0.019), ambulatory night-time systolic BP in patients with obesity was significantly higher than in patients with normal weight. This study demonstrated that masked hypertension prevalence is higher in patients with obesity than control patients. It can be suggested that predefining obesity might be helpful in early detection of masked hypertension.

  20. Categorical scaling of partial loudness in a condition of masking release.

    Science.gov (United States)

    Verhey, Jesko L; Heeren, Wiebke

    2015-08-01

    Categorical loudness scaling was used to measure suprathreshold release from masking. The signal was a 986-Hz sinusoid that was embedded in a bandpass-filtered masking noise. This noise was either unmodulated or was amplitude modulated with a square-wave modulator. The unmodulated noise had either the same level as the modulated noise or had a level that was reduced by the difference in thresholds for the 986-Hz signal obtained with the modulated and unmodulated noise masker presented at the same level (i.e., the masking release). A comparison with loudness matching data of the same set of subjects showed that the data obtained with loudness scaling capture main aspects of the change in suprathreshold perception of the sinusoid when the masker was modulated. The scaling data for the signal masked by the unmodulated noise with the reduced masker level were similar to that for the signal embedded in the modulated noise. This similarity supports the hypothesis that the mechanism eliciting the masking release is effectively reducing the masker level.

  1. The pros and cons of masked priming.

    Science.gov (United States)

    Forster, K I

    1998-03-01

    Masked priming paradigms offer the promise of tapping automatic, strategy-free lexical processing, as evidenced by the lack of expectancy disconfirmation effects, and proportionality effects in semantic priming experiments. But several recent findings suggest the effects may be prelexical. These findings concern nonword priming effects in lexical decision and naming, the effects of mixed-case presentation on nonword priming, and the dependence of priming on the nature of the distractors in lexical decision, suggesting possible strategy effects. The theory underlying each of these effects is discussed, and alternative explanations are developed that do not preclude a lexical basis for masked priming effects.

  2. 42 CFR 84.75 - Half-mask facepieces, full facepieces, mouthpieces; fit; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Half-mask facepieces, full facepieces, mouthpieces... RESPIRATORY PROTECTIVE DEVICES Self-Contained Breathing Apparatus § 84.75 Half-mask facepieces, full facepieces, mouthpieces; fit; minimum requirements. (a) Half-mask facepieces and full facepieces shall be...

  3. An optimized OPC and MDP flow for reducing mask write time and mask cost

    Science.gov (United States)

    Yang, Ellyn; Li, Cheng He; Park, Se Jin; Zhu, Yu; Guo, Eric

    2010-09-01

    In the process of optical proximity correction, layout edge or fragment is migrating to proper position in order to minimize edge placement error (EPE). During this fragment migration, several factors other than EPE can be also taken into account as a part of cost function for optimal fragment displacement. Several factors are devised in favor of OPC stability, which can accommodate room for high mask error enhancement factor (MEEF), lack of process window, catastrophic pattern failure such as pinch/bridge and improper fragmentation. As technology node becomes finer, there happens conflict between OPC accuracy and stability. Especially for metal layers, OPC has focused on the stability by loss of accurate OPC results. On this purpose, several techniques have been introduced, which are target smoothing, process window aware OPC, model-based retargeting and adaptive OPC. By utilizing those techniques, OPC enables more stabilized patterning, instead of realizing design target exactly on wafer. Inevitably, post-OPC layouts become more complicated because those techniques invoke additional edge, or fragments prior to correction or during OPC iteration. As a result, jogs of post OPC layer can be dramatically increased, which results in huge number of shot count after data fracturing. In other words, there is trade-off relationship between data complexity and various methods for OPC stability. In this paper, those relationships have been investigated with respect to several technology nodes. The mask shot count reduction is achieved by reducing the number of jogs with which EPE difference are within pre-specified value. The effect of jog smoothing on OPC output - in view of OPC performance and mask data preparation - was studied quantitatively for respective technology nodes.

  4. Nursing Services Delivery Theory: an open system approach.

    Science.gov (United States)

    Meyer, Raquel M; O'Brien-Pallas, Linda L

    2010-12-01

    This paper is a discussion of the derivation of the Nursing Services Delivery Theory from the application of open system theory to large-scale organizations. The underlying mechanisms by which staffing indicators influence outcomes remain under-theorized and unmeasured, resulting in a 'black box' that masks the nature and organization of nursing work. Theory linking nursing work, staffing, work environments, and outcomes in different settings is urgently needed to inform management decisions about the allocation of nurse staffing resources in organizations. A search of CINAHL and Business Source Premier for the years 1980-2008 was conducted using the following terms: theory, models, organization, organizational structure, management, administration, nursing units, and nursing. Seminal works were included. The healthcare organization is conceptualized as an open system characterized by energy transformation, a dynamic steady state, negative entropy, event cycles, negative feedback, differentiation, integration and coordination, and equifinality. The Nursing Services Delivery Theory proposes that input, throughput, and output factors interact dynamically to influence the global work demands placed on nursing work groups at the point of care in production subsystems. THE Nursing Services Delivery Theory can be applied to varied settings, cultures, and countries and supports the study of multi-level phenomena and cross-level effects. The Nursing Services Delivery Theory gives a relational structure for reconciling disparate streams of research related to nursing work, staffing, and work environments. The theory can guide future research and the management of nursing services in large-scale healthcare organizations. © 2010 Blackwell Publishing Ltd.

  5. A Comparison of MODIS/VIIRS Cloud Masks over Ice-Bearing River: On Achieving Consistent Cloud Masking and Improved River Ice Mapping

    Directory of Open Access Journals (Sweden)

    Simon Kraatz

    2017-03-01

    Full Text Available The capability of frequently and accurately monitoring ice on rivers is important, since it may be possible to timely identify ice accumulations corresponding to ice jams. Ice jams are dam-like structures formed from arrested ice floes, and may cause rapid flooding. To inform on this potential hazard, the CREST River Ice Observing System (CRIOS produces ice cover maps based on MODIS and VIIRS overpass data at several locations, including the Susquehanna River. CRIOS uses the respective platform’s automatically produced cloud masks to discriminate ice/snow covered grid cells from clouds. However, since cloud masks are produced using each instrument’s data, and owing to differences in detector performance, it is quite possible that identical algorithms applied to even nearly identical instruments may produce substantially different cloud masks. Besides detector performance, cloud identification can be biased due to local (e.g., land cover, viewing geometry, and transient conditions (snow and ice. Snow/cloud confusions and large view angles can result in substantial overestimates of clouds and ice. This impacts algorithms, such as CRIOS, since false cloud cover precludes the determination of whether an otherwise reasonably cloud free grid consists of water or ice. Especially for applications aiming to frequently classify or monitor a location it is important to evaluate cloud masking, including false cloud detections. We present an assessment of three cloud masks via the parameter of effective revisit time. A 100 km stretch of up to 1.6 km wide river was examined with daily data sampled at 500 m resolution, examined over 317 days during winter. Results show that there are substantial differences between each of the cloud mask products, especially while the river bears ice. A contrast-based cloud screening approach was found to provide improved and consistent cloud and ice identification within the reach (95%–99% correlations, and 3%–7% mean

  6. Actinic Mask Inspection at the ALS Initial Design Review

    International Nuclear Information System (INIS)

    Barty, A; Chapman, H; Sweeney, D; Levesque, R; Bokor, J; Gullikson, E; Jong, S; Liu, Y; Yi, M; Denbeaux, G; Goldberg, K; Naulleau, P; Denham, P; Rekawa, S; Baston, P; Tackaberry, R; Barale, P

    2003-01-01

    This report is the first milestone report for the actinic mask blank inspection project conducted at the VNL, which forms sub-section 3 of the Q1 2003 mask blank technology transfer program at the VNL. Specifically this report addresses deliverable 3.1.1--design review and preliminary tool design. The goal of this project is to design an actinic mask inspection tool capable of operating in two modes: high-speed scanning for the detection of multilayer defects (inspection mode), and a high-resolution aerial image mode in which the image emulates the imaging illumination conditions of a stepper system (aerial image or AIM mode). The purpose and objective of these two modes is as follows: (1) Defect inspection mode--This imaging mode is designed to scan large areas of the mask for defects EUV multilayer coatings. The goal is to detect the presence of multilayer defects on a mask blank and to store the co-ordinates for subsequent review in AIM mode, thus it is not essential that the illumination and imaging conditions match that of a production stepper. Potential uses for this imaging mode include: (a) Correlating the results obtained using actinic inspection with results obtained using other non-EUV defect inspection systems to verify that the non-EUV scanning systems are detecting all critical defects; (b) Gaining sufficient information to associate defects with particular processes, such as various stages of the multilayer deposition or different modes of operation of the deposition tool; and (c) Assessing the density and EUV impact of surface and multilayer anomalies. Because of the low defect density achieved using current multilayer coating technology it is necessary to be able to efficiently scan large areas of the mask in order to obtain sufficient statistics for use in cross-correlation experiments. Speed of operation as well as sensitivity is therefore key to operation in defect inspection mode. (2) Aerial Image Microscope (AIM) mode--In AIM mode the tool is

  7. Comparison of plastic and orfit[reg] masks for patient head fixation during radiotherapy: precision and costs

    International Nuclear Information System (INIS)

    Weltens, Caroline; Kesteloot, Katrien; Vandevelde, Guy; Van den Bogaert, Walter

    1995-01-01

    Purpose: Two widely used immobilization systems for head fixation during radiotherapy treatment for ear-nose-throat (ENT) tumors are evaluated. Methods and Materials: Masks made of poly vinyl-chloride (plastuc) are compared to thermoplastic masks (Orfit[reg]) with respect to the accuracy of the treatment setup and the costs. For both types of material, a cut-out (windows corresponding to treatment fields) and a full mask (not cut out) are considered. Forty-three patients treated for ENT tumors were randomized into four groups, to be fixed by one of the following modalities: cut-out plastic mask (12 patients), full plastic mask (11 patients), cut-out Orfit[reg] mask (10 patients), and full Orfit[reg] mask (10 patients). Results: Reproducibility of the treatment setup was assessed by calculating the deviations from the mean value for each individual patient and was demonstrated to be identical for all subgroups: no differences were demonstrated between the plastic (s = 2.1 mm) and the Orfit[reg] (s = 2.1 mm) group nor between the cut-out (s = 2.0 mm) and not cut-out (s = 2.1 mm) group. The transfer chain from simulator to treatment unit was checked by comparing portal images to their respective simulation image, and no differences between the four subgroups (s = ±3.5 mm) could be detected. A methodology was described to compare the costs of both types of masks, and illustrated with the data for a department. It was found that Orfit[reg] masks are a cheaper alternative than plastic masks; they require much less investment expenses and the workload and material cost of the first mask for each patient is also lower. Cut-out masks are more expensive than full masks, because of the higher workload and the additional material required for second and third masks that are required in case of field modifications. Conclusions: No substantial difference in patient setup accuracy between both types of masks was detected, and cutting out the masks had no impact on the fixing

  8. Evaluation of wind noise in passenger car compartment in consideration of auditory masking and sound localization; Chokaku masking to hoko chikaku wo koryoshita kazekirion hyoka

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, H. [Toyota Central Research and Development Labs., Inc., Aichi (Japan); Kato, H. [Toyota Motor Corp., Aichi (Japan)

    1998-05-01

    Discussed is a method for evaluating wind noise in passenger car compartment based on human auditory characteristics. In the study, noise in the compartment of a passenger car travelling at a constant speed is collected by use of a dummy head, and the collected noise is analyzed in view of the masking effect, directional sensation produced by binaural hearing, etc. A masked spectrum of noise in the compartment of a 6-cylinder vehicle travelling at 120km/h is analyzed, and it is found that some frequency bands, especially the band centering on 300Hz, are masked by a loud noise component falling in a low frequency band of 180Hz or lower. By use of masked spectrum analysis, the level of noise that is actually audible to human ears can be calculated. The noise level thus determined by masked spectrum analysis and the noise direction determined by a binaural signal processing model are examined, and then it is found that the noise direction is clearly determined when the noise belongs in a 450Hz band or higher where wind noise prevails. On the bases of the above-mentioned results and the directional sensation produced by binaural hearing, a `binaural wind noise evaluation method` is compiled. 20 refs., 9 figs., 1 tab.

  9. Mask-wearing and respiratory infection in healthcare workers in Beijing, China

    Directory of Open Access Journals (Sweden)

    Peng Yang

    Full Text Available OBJECTIVES: The aim of the study was to determine rates of mask-wearing, of respiratory infection and the factors associated with mask-wearing and of respiratory infection in healthcare workers (HCWs in Beijing during the winter of 2007/2008. METHODS: We conducted a survey of 400 HCWs working in eight hospitals in Beijing by face to face interview using a standardized questionnaire. RESULTS: We found that 280/400 (70.0% of HCWs were compliant with mask-wearing while in contact with patients. Respiratory infection occurred in 238/400 (59.5% subjects from November, 2007 through February, 2008. Respiratory infection was higher among females (odds ratio [OR], 2.00 [95% confidence interval {CI}, 1.16-3.49] and staff working in larger hospitals (OR, 1.72 [95% CI, 1.092.72], but was lower among subjects with seasonal influenza vaccination (OR, 0.46 [95% CI, 0.280.76], wearing medical masks (reference: cotton-yarn; OR, 0.60 [95% CI, 0.39-0.91] or with good mask-wearing adherence (OR, 0.60 [95% CI, 0.37-0.98]. The risk of respiratory infection of HCWs working in low risk areas was similar to that of HCWs in high risk area. CONCLUSION: Our data suggest that female HCWs and staffs working in larger hospitals are the focus of prevention and control of respiratory infection in Beijing hospitals. Mask-wearing and seasonal influenza vaccination are protective for respiratory infection in HCWs; the protective efficacy of medical masks is better than that of cotton yarn ones; respiratory infection of HCWs working in low risk areas should also be given attention.

  10. Inferior alveolar nerve injury with laryngeal mask airway: a case report

    Directory of Open Access Journals (Sweden)

    Masud Sarmad

    2011-03-01

    Full Text Available Abstract Introduction The incidence of damage to the individual cranial nerves and their branches associated with laryngeal mask airway use is low; there have been case reports of damage to the lingual nerve, hypoglossal nerve and recurrent laryngeal nerve. To the best of our knowledge we present the first reported case of inferior alveolar nerve injury associated with laryngeal mask airway use. Case presentation A 35-year-old Caucasian man presented to our facility for elective anterior cruciate ligament repair. He had no background history of any significant medical problems. He opted for general anesthesia over a regional technique. He was induced with fentanyl and propofol and a size 4 laryngeal mask airway was inserted without any problems. His head was in a neutral position during the surgery. After surgery in the recovery room, he complained of numbness in his lower lip. He also developed extensive scabbing of the lower lip on the second day after surgery. The numbness and scabbing started improving after a week, with complete recovery after two weeks. Conclusion We report the first case of vascular occlusion and injury to the inferior alveolar nerve, causing scabbing and numbness of the lower lip, resulting from laryngeal mask airway use. This is an original case report mostly of interest for anesthetists who use the laryngeal mask airway in day-to-day practice. Excessive inflation of the laryngeal mask airway cuff could have led to this complication. Despite the low incidence of cranial nerve injury associated with the use of the laryngeal mask airway, vigilant adherence to evidence-based medicine techniques and recommendations from the manufacturer's instructions can prevent such complications.

  11. Past and future challenges from a display mask writer perspective

    Science.gov (United States)

    Ekberg, Peter; von Sydow, Axel

    2012-06-01

    Since its breakthrough, the liquid crystal technology has continued to gain momentum and the LCD is today the dominating display type used in desktop monitors, television sets, mobile phones as well as other mobile devices. To improve production efficiency and enable larger screen sizes, the LCD industry has step by step increased the size of the mother glass used in the LCD manufacturing process. Initially the mother glass was only around 0.1 m2 large, but with each generation the size has increased and with generation 10 the area reaches close to 10 m2. The increase in mother glass size has in turn led to an increase in the size of the photomasks used - currently the largest masks are around 1.6 × 1.8 meters. A key mask performance criterion is the absence of "mura" - small systematic errors captured only by the very sensitive human eye. To eliminate such systematic errors, special techniques have been developed by Micronic Mydata. Some mura suppressing techniques are described in this paper. Today, the race towards larger glass sizes has come to a halt and a new race - towards higher resolution and better image quality - is ongoing. The display mask is therefore going through a change that resembles what the semiconductor mask went through some time ago: OPC features are introduced, CD requirements are increasing sharply and multi tone masks (MTMs) are widely used. Supporting this development, Micronic Mydata has introduced a number of compensation methods in the writer, such as Z-correction, CD map and distortion control. In addition, Micronic Mydata MMS15000, the world's most precise large area metrology tool, has played an important role in improving mask placement quality and is briefly described in this paper. Furthermore, proposed specifications and system architecture concept for a new generation mask writers - able to fulfill future image quality requirements - is presented in this paper. This new system would use an AOD/AOM writing engine and be

  12. HIGH PERFORMANCE PIAA CORONAGRAPHY WITH COMPLEX AMPLITUDE FOCAL PLANE MASKS

    International Nuclear Information System (INIS)

    Guyon, Olivier; Martinache, Frantz; Belikov, Ruslan; Soummer, Remi

    2010-01-01

    We describe a coronagraph approach where the performance of a Phase-Induced Amplitude Apodization (PIAA) coronagraph is improved by using a partially transmissive phase-shifting focal plane mask and a Lyot stop. This approach combines the low inner working angle offered by phase mask coronagraphy, the full throughput and uncompromized angular resolution of the PIAA approach, and the design flexibility of Apodized Pupil Lyot Coronagraph. A PIAA complex mask coronagraph (PIAACMC) is fully described by the focal plane mask size, or, equivalently, its complex transmission which ranges from 0 (opaque) to -1 (phase shifting). For all values of the transmission, the PIAACMC theoretically offers full on-axis extinction and 100% throughput at large angular separations. With a pure phase focal plane mask (complex transmission = -1), the PIAACMC offers 50% throughput at 0.64 λ/D while providing total extinction of an on-axis point source. This performance is very close to the 'fundamental performance limit' of coronagraphy derived from first principles. For very high contrast level, imaging performance with PIAACMC is in practice limited by the angular size of the on-axis target (usually a star). We show that this fundamental limitation must be taken into account when choosing the optimal value of the focal plane mask size in the PIAACMC design. We show that the PIAACMC enables visible imaging of Jupiter-like planets at ∼1.2 λ/D from the host star, and can therefore offer almost three times more targets than a PIAA coronagraph optimized for this type of observation. We find that for visible imaging of Earth-like planets, the PIAACMC gain over a PIAA is probably much smaller, as coronagraphic performance is then strongly constrained by stellar angular size. For observations at 'low' contrast (below ∼ 10 8 ), the PIAACMC offers significant performance enhancement over PIAA. This is especially relevant for ground-based high contrast imaging systems in the near-IR, where

  13. The role of executive attention in object substitution masking.

    Science.gov (United States)

    Filmer, Hannah L; Wells-Peris, Roxanne; Dux, Paul E

    2017-05-01

    It was long thought that a key characteristic of object substitution masking (OSM) was the requirement for spatial attention to be dispersed for the mask to impact visual sensitivity. However, recent studies have provided evidence questioning whether spatial attention interacts with OSM magnitude, suggesting that the previous reports reflect the impact of performance being at ceiling for the low attention load conditions. Another technique that has been employed to modulate attention in OSM paradigms involves presenting the target stimulus foveally, but with another demanding task shown immediately prior, and thus taxing executive/temporal attention. Under such conditions, when the two tasks occur in close temporal proximity relatively to greater temporal separation, masking is increased. However this effect could also be influenced by performance being at ceiling in some conditions. Here, we manipulated executive attention for a foveated target using a dual-task paradigm. Critically, ceiling performance was avoided by thresholding the target stimulus prior to it being presented under OSM conditions. We found no evidence for an interaction between executive attention load and masking. Collectively, along with the previous findings, our results provide compelling evidence that OSM as a phenomenon occurs independently of attention.

  14. Smoke Mask

    Science.gov (United States)

    2003-01-01

    Smoke inhalation injury from the noxious products of fire combustion accounts for as much as 80 percent of fire-related deaths in the United States. Many of these deaths are preventable. Smoke Mask, Inc. (SMI), of Myrtle Beach, South Carolina, is working to decrease these casualties with its line of life safety devices. The SMI personal escape hood and the Guardian Filtration System provide respiratory protection that enables people to escape from hazardous and unsafe conditions. The breathing filter technology utilized in the products is specifically designed to supply breathable air for 20 minutes. In emergencies, 20 minutes can mean the difference between life and death.

  15. Experiences and future plans of movable mask system for the high current collider KEK B-factory

    International Nuclear Information System (INIS)

    Suetsugu, Yusuke; Kageyama, Tatsuya; Takeuchi, Yasunao; Kanazawa, Ken-ichi; Satoh, Kotarou; Sanami, Toshiya; Hirayama, Hideo

    2002-01-01

    The movable mask is a special device for an accelerator that cuts off spent particles near the beam orbit and reduces background of the detector. For the KEKB, an electron/positron collider with two rings, sixteen movable masks had been installed for each ring. The originally designed masks, however, revealed severe troubles of heating, arcing and vacuum leaks at the stored beam currents of several hundreds mA. Several kinds of improved masks had been designed employing RF technologies and were installed to the ring step by step. The latest masks are working well except for the grooves on the mask head. Here the problems of movable masks so far are summarized and the structures of the latest movable masks and their status are presented. (author)

  16. A phase mask fiber grating and sensing applications

    Directory of Open Access Journals (Sweden)

    Preecha P. Yupapin

    2003-09-01

    Full Text Available This paper presents an investigation of a fabricated fiber grating device characteristics and its applications, using a phase mask writing technique. The use of a most common UV phase laser (KrF eximer laser, with high intensity light source was focussed to the phase mask for writing on a fiber optic sample. The device (i.e. grating characteristic especially, in sensing application, was investigated. The possibility of using such device for temperature and strain sensors is discussed.

  17. Impact of thermoplastic mask on X-ray surface dose calculated with Monte Carlo code

    International Nuclear Information System (INIS)

    Zhao Yanqun; Li Jie; Wu Liping; Wang Pei; Lang Jinyi; Wu Dake; Xiao Mingyong

    2010-01-01

    Objective: To calculate the effects of thermoplastic mask on X-ray surface dose. Methods: The BEAMnrc Monte Carlo Code system, designed especially for computer simulation of radioactive sources, was performed to evaluate the effects of thermoplastic mask on X-ray surface dose.Thermoplastic mask came from our center with a material density of 1.12 g/cm 2 . The masks without holes, with holes size of 0.1 cm x 0.1 cm, and with holes size of 0. 1 cm x 0.2 cm, and masks with different depth (0.12 cm and 0.24 cm) were evaluated separately. For those with holes, the material width between adjacent holes was 0.1 cm. Virtual masks with a material density of 1.38 g/cm 3 without holes with two different depths were also evaluated. Results: Thermoplastic mask affected X-rays surface dose. When using a thermoplastic mask with the depth of 0.24 cm without holes, the surface dose was 74. 9% and 57.0% for those with the density of 1.38 g/cm 3 and 1.12 g/cm 3 respectively. When focusing on the masks with the density of 1.12 g/cm 3 , the surface dose was 41.2% for those with 0.12 cm depth without holes; 57.0% for those with 0. 24 cm depth without holes; 44.5% for those with 0.24 cm depth with holes size of 0.1 cm x 0.2 cm;and 54.1% for those with 0.24 cm depths with holes size of 0.1 cm x 0.1 cm.Conclusions: Using thermoplastic mask during the radiation increases patient surface dose. The severity is relative to the hole size and the depth of thermoplastic mask. The surface dose change should be considered in radiation planning to avoid severe skin reaction. (authors)

  18. Keep pushing! Limiting interruptions to CPR; bag-valve mask versus ...

    African Journals Online (AJOL)

    This has led to first responders and paramedics performing single rescuer CPR using a bag-valve-mask (BVM) device as opposed to the historical practice of intubating and ventilating via an endotracheal tube. Bag-valve-mask ventilations, especially during single rescuer CPR, are however associated with complications ...

  19. Prevalence and persistence of masked hypertension in treated hypertensive patients

    NARCIS (Netherlands)

    Verberk, Willem J.; Thien, Theo; Kroon, Abraham A.; Lenders, Jacques W. M.; van Montfrans, Gert A.; Smit, Andries J.; de Leeuw, Peter W.

    2007-01-01

    Background: Masked hypertension (MH) is defined as a normal blood pressure in the physician's office and an elevated blood pressure when measured out-of-office. The cause of MH may be termed the masked hypertension effect (MHE), and is not restricted to blood-pressure (BP) values around the

  20. Prevalence and persistence of masked hypertension in treated hypertensive patients.

    NARCIS (Netherlands)

    Verberk, W.J.; Thien, Th.; Kroon, A.A.; Lenders, J.W.M.; Montfrans, G.A. van; Smit, A.J.; Leeuw, P.W. de

    2007-01-01

    BACKGROUND: Masked hypertension (MH) is defined as a normal blood pressure in the physician's office and an elevated blood pressure when measured out-of-office. The cause of MH may be termed the masked hypertension effect (MHE), and is not restricted to blood-pressure (BP) values around the

  1. Prevalence and persistence of masked hypertension in treated hypertensive patients

    NARCIS (Netherlands)

    Verberk, Willem J.; Thien, Theo; Kroon, Abraham A.; Lenders, Jacques W. M.; van Montfrans, Gert A.; Smit, Andries J.; de Leeuw, Peter W.

    2007-01-01

    BACKGROUND: Masked hypertension (MH) is defined as a normal blood pressure in the physician's office and an elevated blood pressure when measured out-of-office. The cause of MH may be termed the masked hypertension effect (MHE), and is not restricted to blood-pressure (BP) values around the

  2. Method to make a single-step etch mask for 3D monolithic nanostructures

    NARCIS (Netherlands)

    Grishina, Diana; Harteveld, Cornelis A.M.; Woldering, L.A.; Vos, Willem L.

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to

  3. 37 CFR 211.6 - Methods of affixation and placement of mask work notice.

    Science.gov (United States)

    2010-07-01

    ... placement of mask work notice. 211.6 Section 211.6 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.6 Methods of affixation and placement of mask work notice. (a) General. (1) This section specifies methods of affixation and placement...

  4. US EPA Regional Masks Web Service, US, 2015, US EPA, SEGS

    Data.gov (United States)

    U.S. Environmental Protection Agency — This web service contains the following map layers: masks and labels for EPA regions 1 through 10. Mask layers are drawn at all scales. Label layers draw at scales...

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  6. Orientation masking and cross-orientation suppression (XOS): implications for estimates of filter bandwidth.

    Science.gov (United States)

    Meese, Tim S; Holmes, David J

    2010-10-01

    Most contemporary models of spatial vision include a cross-oriented route to suppression (masking from a broadly tuned inhibitory pool), which is most potent at low spatial and high temporal frequencies (T. S. Meese & D. J. Holmes, 2007). The influence of this pathway can elevate orientation-masking functions without exciting the target mechanism, and because early psychophysical estimates of filter bandwidth did not accommodate this, it is likely that they have been overestimated for this corner of stimulus space. Here we show that a transient 40% contrast mask causes substantial binocular threshold elevation for a transient vertical target, and this declines from a mask orientation of 0° to about 40° (indicating tuning), and then more gently to 90°, where it remains at a factor of ∼4. We also confirm that cross-orientation masking is diminished or abolished at high spatial frequencies and for sustained temporal modulation. We fitted a simple model of pedestal masking and cross-orientation suppression (XOS) to our data and those of G. C. Phillips and H. R. Wilson (1984) and found the dependency of orientation bandwidth on spatial frequency to be much less than previously supposed. An extension of our linear spatial pooling model of contrast gain control and dilution masking (T. S. Meese & R. J. Summers, 2007) is also shown to be consistent with our results using filter bandwidths of ±20°. Both models include tightly and broadly tuned components of divisive suppression. More generally, because XOS and/or dilution masking can affect the shape of orientation-masking curves, we caution that variations in bandwidth estimates might reflect variations in processes that have nothing to do with filter bandwidth.

  7. AutoMOPS--B2B and B2C in mask making: mask manufacturing performance and customer satisfaction improvement through better information flow management

    Science.gov (United States)

    de Ridder, Luc; Filies, Olaf; Rodriguez, Ben; Kuijken, Aart

    2001-04-01

    Through application of modern supply chain concepts in combination with state-of-the-art information technology, mask manufacturing performance and customer satisfaction can be improved radically. The AutoMOPS solution emphasizes on the elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and prototype production stages.

  8. Partially Transparent Petaled Mask/Occulter for Visible-Range Spectrum

    Science.gov (United States)

    Shiri, Ron Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of the Poisson Spot, also known as the spot of Arago, has been known since the 18th century. This spot is the consequence of constructive interference of light diffracted by the edge of the obstacle where the central position can be determined by symmetry of the object. More recently, many NASA missions require the suppression of this spot in the visible range. For instance, the exoplanetary missions involving space telescopes require telescopes to image the planetary bodies orbiting central stars. For this purpose, the starlight needs to be suppressed by several orders of magnitude in order to image the reflected light from the orbiting planet. For the Earth-like planets, this suppression needs to be at least ten orders of magnitude. One of the common methods of suppression involves sharp binary petaled occulters envisioned to be placed many thousands of miles away from the telescope blocking the starlight. The suppression of the Poisson Spot by binary sharp petal tips can be problematic when the thickness of the tips becomes smaller than the wavelength of the incident beam. First they are difficult to manufacture and also it invalidates the laws of physical optics. The proposed partially transparent petaled masks/occulters compensate for this sharpness with transparency along the surface of the petals. Depending on the geometry of the problem, this transparency can be customized such that only a small region of the petal is transparent and the remaining of the surface is opaque. This feature allows easy fabrication of this type of occultation device either as a mask or occulter. A partially transparent petaled mask/ occulter has been designed for the visible spectrum range. The mask/occulter can suppress the intensity along the optical axis up to ten orders of magnitude. The design process can tailor the mask shape, number of petals, and transparency level to the near-field and farfield diffraction region. The mask/occulter can be used in space

  9. Aperture Mask for Unambiguous Parity Determination in Long Wavelength Imagers

    Science.gov (United States)

    Bos, Brent

    2011-01-01

    A document discusses a new parity pupil mask design that allows users to unambiguously determine the image space coordinate system of all the James Webb Space Telescope (JWST) science instruments by using two out-of-focus images. This is an improvement over existing mask designs that could not completely eliminate the coordinate system parity ambiguity at a wavelength of 5.6 microns. To mitigate the problem of how the presence of diffraction artifacts can obscure the pupil mask detail, this innovation has been created with specifically designed edge features so that the image space coordinate system parity can be determined in the presence of diffraction, even at long wavelengths.

  10. Rapid mask prototyping for microfluidics.

    Science.gov (United States)

    Maisonneuve, B G C; Honegger, T; Cordeiro, J; Lecarme, O; Thiry, T; Fuard, D; Berton, K; Picard, E; Zelsmann, M; Peyrade, D

    2016-03-01

    With the rise of microfluidics for the past decade, there has come an ever more pressing need for a low-cost and rapid prototyping technology, especially for research and education purposes. In this article, we report a rapid prototyping process of chromed masks for various microfluidic applications. The process takes place out of a clean room, uses a commercially available video-projector, and can be completed in less than half an hour. We quantify the ranges of fields of view and of resolutions accessible through this video-projection system and report the fabrication of critical microfluidic components (junctions, straight channels, and curved channels). To exemplify the process, three common devices are produced using this method: a droplet generation device, a gradient generation device, and a neuro-engineering oriented device. The neuro-engineering oriented device is a compartmentalized microfluidic chip, and therefore, required the production and the precise alignment of two different masks.

  11. Masking of aluminum surface against anodizing

    Science.gov (United States)

    Crawford, G. B.; Thompson, R. E.

    1969-01-01

    Masking material and a thickening agent preserve limited unanodized areas when aluminum surfaces are anodized with chromic acid. For protection of large areas it combines well with a certain self-adhesive plastic tape.

  12. Video encryption using chaotic masks in joint transform correlator

    Science.gov (United States)

    Saini, Nirmala; Sinha, Aloka

    2015-03-01

    A real-time optical video encryption technique using a chaotic map has been reported. In the proposed technique, each frame of video is encrypted using two different chaotic random phase masks in the joint transform correlator architecture. The different chaotic random phase masks can be obtained either by using different iteration levels or by using different seed values of the chaotic map. The use of different chaotic random phase masks makes the decryption process very complex for an unauthorized person. Optical, as well as digital, methods can be used for video encryption but the decryption is possible only digitally. To further enhance the security of the system, the key parameters of the chaotic map are encoded using RSA (Rivest-Shamir-Adleman) public key encryption. Numerical simulations are carried out to validate the proposed technique.

  13. Masked depression: its interrelations with somatization, hypochondriasis and conversion.

    Science.gov (United States)

    Fisch, R Z

    1987-01-01

    Masked depression appears to be a common clinical phenomenon. Most depressions present with some somatic complaints in addition to affective and cognitive ones. About one half of all depressions seen by primary care physicians initially present predominantly or exclusively with somatic symptoms. Many of these depressions are not recognized or are misdiagnosed and mistreated. The possible reasons for this are discussed here. The phenomenon of somatization in depressions and other conditions is reviewed and the interface with other related clinical problems like hypochondriasis and conversion is delineated. It is hypothesized that the proportion of depressions that are masked is positively correlated to the patients' tendency to somatize and negatively correlated to the doctors' ability to recognize depressions that hide behind somatic complaints. Suggestions for the diagnosis and treatment of masked depressions are given.

  14. Video encryption using chaotic masks in joint transform correlator

    International Nuclear Information System (INIS)

    Saini, Nirmala; Sinha, Aloka

    2015-01-01

    A real-time optical video encryption technique using a chaotic map has been reported. In the proposed technique, each frame of video is encrypted using two different chaotic random phase masks in the joint transform correlator architecture. The different chaotic random phase masks can be obtained either by using different iteration levels or by using different seed values of the chaotic map. The use of different chaotic random phase masks makes the decryption process very complex for an unauthorized person. Optical, as well as digital, methods can be used for video encryption but the decryption is possible only digitally. To further enhance the security of the system, the key parameters of the chaotic map are encoded using RSA (Rivest–Shamir–Adleman) public key encryption. Numerical simulations are carried out to validate the proposed technique. (paper)

  15. Assessment of a respiratory face mask for capturing air pollutants and pathogens including human influenza and rhinoviruses.

    Science.gov (United States)

    Zhou, S Steve; Lukula, Salimatu; Chiossone, Cory; Nims, Raymond W; Suchmann, Donna B; Ijaz, M Khalid

    2018-03-01

    Prevention of infection with airborne pathogens and exposure to airborne particulates and aerosols (environmental pollutants and allergens) can be facilitated through use of disposable face masks. The effectiveness of such masks for excluding pathogens and pollutants is dependent on the intrinsic ability of the masks to resist penetration by airborne contaminants. This study evaluated the relative contributions of a mask, valve, and Micro Ventilator on aerosol filtration efficiency of a new N95 respiratory face mask. The test mask was challenged, using standardized methods, with influenza A and rhinovirus type 14, bacteriophage ΦΧ174, Staphylococcus aureus ( S . aureus ), and model pollutants. The statistical significance of results obtained for different challenge microbial agents and for different mask configurations (masks with operational or nonoperational ventilation fans and masks with sealed Smart Valves) was assessed. The results demonstrate >99.7% efficiency of each test mask configuration for exclusion of influenza A virus, rhinovirus 14, and S . aureus and >99.3% efficiency for paraffin oil and sodium chloride (surrogates for PM 2.5 ). Statistically significant differences in effectiveness of the different mask configurations were not identified. The efficiencies of the masks for excluding smaller-size (i.e., rhinovirus and bacteriophage ΦΧ174) vs. larger-size microbial agents (influenza virus, S . aureus ) were not significantly different. The masks, with or without features intended for enhancing comfort, provide protection against both small- and large-size pathogens. Importantly, the mask appears to be highly efficient for filtration of pathogens, including influenza and rhinoviruses, as well as the fine particulates (PM 2.5 ) present in aerosols that represent a greater challenge for many types of dental and surgical masks. This renders this individual-use N95 respiratory mask an improvement over the former types of masks for protection against

  16. Lithography-based automation in the design of program defect masks

    Science.gov (United States)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  17. Evaluation of taste-masking effects of pharmaceutical sweeteners with an electronic tongue system.

    Science.gov (United States)

    Choi, Du Hyung; Kim, Nam Ah; Nam, Tack Soo; Lee, Sangkil; Jeong, Seong Hoon

    2014-03-01

    Electronic tongue systems have been developed for taste measurement of bitter drug substances in accurate taste comparison to development palatable oral formulations. This study was to evaluate the taste masking effect of conventional pharmaceutical sweeteners such as neohesperidin dihydrochalcone, sucrose, sucralose and aspartame. The model drugs were acetaminophen, ibuprofen, tramadol hydrochloride, and sildenafil citrate (all at 20 mM). The degree of bitterness was measured by a multichannel taste sensor system (an electronic tongue). The data was collected by seven sensors and analyzed by a statistical method of principal components analysis (PCA). The effect of taste masking excipient was dependent on the type of model drug. Changing the concentration of taste masking excipients affected the sensitivity of taste masking effect according to the type of drug. As the excipient concentration increased, the effect of taste masking increased. Moreover, most of the sensors showed a concentration-dependent pattern of the taste-masking agents as higher concentration provided higher selectivity. This might indicate that the sensors can detect small concentration changes of a chemical in solution. These results suggest that the taste masking could be evaluated based on the data of the electronic tongue system and that the formulation development process could be performed in a more efficient way.

  18. Fabrication of high-aspect-ratio nano structures using a nano x-ray shadow mask

    International Nuclear Information System (INIS)

    Kim, Yong Chul; Lee, Seung S

    2008-01-01

    This paper describes a novel method for the fabrication of high-aspect-ratio nano structures (HAR-nano structures) using a nano x-ray shadow mask and deep x-ray lithography (DXRL). The nano x-ray shadow mask is fabricated by depositing an x-ray absorber layer (Au, 3 µm) onto the back side of a nano shadow mask. The nano shadow mask is produced with nano-sized apertures whose dimensions are reduced to several tens of nanometers by the accumulation of low-stress silicon nitride (Si x N y ) using the LPCVD process on the shadow mask. A shadow mask containing apertures with a size of 1 µm is fabricated on a bulk micromachined Si x N y membrane. The thickness of an absorber layer must be in the range of several tens of micrometers in order to obtain a contrast of more than 100 for the conventional DXRL process at the Pohang Light Source (PLS). However, a 3 µm thick absorber layer can provide a sufficient contrast if the modified DXRL of the central beam-stop method is used, which blocks high-energy x-rays. A nano shadow mask with 30 nm sized apertures is fabricated and a nano x-ray shadow mask with 250 nm sized apertures is fabricated by depositing a 3 µm thick absorber layer on a nano shadow mask with 500 nm sized apertures. HAR-nano structures (circles with a diameter of 420 nm and lines with a width of 274 nm) with aspect ratios of over 10:1 on a 3.2 µm SU-8 are successfully fabricated by using the nano x-ray shadow mask and the central beam-stop method

  19. Use of face masks by non-scrubbed operating room staff: a randomized controlled trial.

    Science.gov (United States)

    Webster, Joan; Croger, Sarah; Lister, Carolyn; Doidge, Michelle; Terry, Michael J; Jones, Ian

    2010-03-01

    Ambiguity remains about the effectiveness of wearing surgical face masks. The purpose of this study was to assess the impact on surgical site infections (SSIs) when non-scrubbed operating room staff did not wear surgical face masks. Eight hundred twenty-seven participants undergoing elective or emergency obstetric, gynecological, general, orthopaedic, breast or urological surgery in an Australian tertiary hospital were enrolled. Complete follow-up data were available for 811 patients (98.1%). Operating room lists were randomly allocated to a 'Mask group' (all non-scrubbed staff wore a mask) or 'No Mask group' (none of the non-scrubbed staff wore masks). The primary end point, SSI was identified using in-patient surveillance; post discharge follow-up and chart reviews. The patient was followed for up to six weeks. Overall, 83 (10.2%) surgical site infections were recorded; 46/401 (11.5%) in the Masked group and 37/410 (9.0%) in the No Mask group; odds ratio (OR) 0.77 (95% confidence interval (CI) 0.49 to 1.21), p = 0.151. Independent risk factors for surgical site infection included: any pre-operative stay (adjusted odds ratio [aOR], 0.43 (95% CI, 0.20; 0.95), high BMI aOR, 0.38 (95% CI, 0.17; 0.87), and any previous surgical site infection aOR, 0.40 (95% CI, 0.17; 0.89). Surgical site infection rates did not increase when non-scrubbed operating room personnel did not wear a face mask.

  20. Randomized crossover trial of a pressure sensing visual feedback system to improve mask fitting in noninvasive ventilation.

    Science.gov (United States)

    Brill, Anne-Kathrin; Moghal, Mohammad; Morrell, Mary J; Simonds, Anita K

    2017-10-01

    A good mask fit, avoiding air leaks and pressure effects on the skin are key elements for a successful noninvasive ventilation (NIV). However, delivering practical training for NIV is challenging, and it takes time to build experience and competency. This study investigated whether a pressure sensing system with real-time visual feedback improved mask fitting. During an NIV training session, 30 healthcare professionals (14 trained in mask fitting and 16 untrained) performed two mask fittings on the same healthy volunteer in a randomized order: one using standard mask-fitting procedures and one with additional visual feedback on mask pressure on the nasal bridge. Participants were required to achieve a mask fit with low mask pressure and minimal air leak (mask fit and staff- confidence were measured. Compared with standard mask fitting, a lower pressure was exerted on the nasal bridge using the feedback system (71.1 ± 17.6 mm Hg vs 63.2 ± 14.6 mm Hg, P mask-fitting training, resulted in a lower pressure on the skin and better mask fit for the volunteer, with increased staff confidence. © 2017 Asian Pacific Society of Respirology.

  1. APPLICATION OF VORONOI DIAGRAM TO MASK-BASED INTERCEPTING PHASE-SPACE MEASUREMENTS

    Energy Technology Data Exchange (ETDEWEB)

    Halavanau, A. [Fermilab; Ha, G. [POSTECH

    2017-05-19

    Intercepting multi-aperture masks (e.g. pepper pot or multislit mask) combined with a downstream transversedensity diagnostics (e.g. based on optical transition radiation or employing scintillating media) are commonly used for characterizing the phase space of charged particle beams and the associated emittances. The required data analysis relies on precise calculation of the RMS sizes and positions of the beamlets originated from the mask which drifted up to the analyzing diagnostics. Voronoi diagram is an efficient method for splitting a plane into subsets according to the distances between given vortices. The application of the method to analyze data from pepper pot and multislit mask based measurement is validated via numerical simulation and applied to experimental data acquired at the Argonne Wakefield Accelerator (AWA) facility. We also discuss the application of the Voronoi diagrams to quantify transverselymodulated beams distortion.

  2. Impact of different nasal masks on CPAP therapy for obstructive sleep apnea: a randomized comparative trial.

    Science.gov (United States)

    Neuzeret, Pierre-Charles; Morin, Laurent

    2017-11-01

    Patient interface is important for the success of continuous positive airway pressure (CPAP), but few trials have examined the influence of mask choice on CPAP adherence. To compare the impact of different nasal masks on CPAP in patients with newly-diagnosed obstructive sleep apnea (OSA). OSA patients were randomized in a 2:3 ratio to receive CPAP via different first-line nasal masks: ResMed Mirage FX® (MFX) or control mask (Fisher & Paykel Zest ® , HC407 ® or Philips EasyLife ® ). Mask acceptance, CPAP compliance and Home Care Provider (HCP) interventions were compared between groups after 3 months of CPAP therapy using modified intent-to-treat (mITT; after exclusion of patients with mouth leaks during CPAP initiation) and on-treatment (OT; CPAP adherent) analyses. Of 285 randomized patients, 90 requiring a full-face mask were excluded, leaving 195 and 151 in the mITT and OT analyses, respectively. Mask acceptance rate was higher in the MFX versus control group (mITT: 79% vs 68%, P = 0.067; OT: 90% vs 76%, P = 0.022). CPAP compliance was higher (5.9 ± 1.8 vs 5.1 ± 1.6 h/night, P = 0.011) and nasal mask issue-related HCP visits lower (3% vs 17%, P = 0.006) in the MFX group. Nasal mask failures due to mask discomfort (5% vs 1%) or unintentional leakage (5% vs 0%) were higher in control vs MFX group. Mask acceptance was significantly associated with fewer mask leaks (P = 0.002) and higher pressure therapy (P = 0.042). This study highlights differences between nasal masks for CPAP delivery and shows that initial mask selection can influence adherence and healthcare utilization during CPAP. © 2016 ResMed Germany Inc. The Clinical Respiratory Journal published by John Wiley & Sons Ltd.

  3. Complete separation of the tube from the mask of a reusable classic laryngeal mask airway: a case report

    Directory of Open Access Journals (Sweden)

    Ali Shahriari

    2007-06-01

    Full Text Available

    The laryngeal mask airway (LMA is an important addition to the anesthetist's equipments. However, its usage may involve some complications. We have encountered an unusual and potentially serious complication using this equipment. A 45-year old man underwent cataract surgery under general anesthesia. After the induction of anesthesia, a size 4 of the reusable classic LMA was inserted without any difficulties and the cuff was inflated. After a little manipulation, the proximal tube of the LMA was separated from the distal part, leaving the distal mask inside the pharynx. The exit of the remaining portion of the LMA was very difficult and made the ventilation of the patient impossible. The patient’s oxygen saturation decreased to 40%. The remaining portion of the LMA was removed by a great clamp and with an extreme effort. Then, an endotracheal tube was inserted and the patient was ventilated with 100% oxygen. After 6 hours, the patient was discharged with no apparent complications. The autoclave was used several times for the sterilization of the LMA.

    KEY WORDS: Laryngeal mask airway, autoclave.

  4. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  5. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  6. Open Government and (Linked (Open (Government (Data

    Directory of Open Access Journals (Sweden)

    Christian Philipp Geiger

    2012-12-01

    Full Text Available This article explores the opening and the free usage of stored public sector data, supplied by state. In the age of Open Government and Open Data it’s not enough just to put data online. It should be rather weighed out whether, how and which supplied public sector data can be published. Open Data are defined as stored data which could be made accessible in a public interest without any restrictions for usage and distribution. These Open Data can possibly be statistics, geo data, maps, plans, environmental data and weather data in addition to materials of the parliaments, ministries and authorities. The preparation and the free access to existing data permit varied approaches to the reuse of data, discussed in the article. In addition, impulses can be given for Open Government – the opening of state and administration, to more transparency, participation and collaboration as well as to innovation and business development. The Open Data movement tries to get to the bottom of current publication processes in the public sector which could be formed even more friendly to citizens and enterprises.

  7. Structure and thermal analysis of the water cooling mask at NSRL front end

    International Nuclear Information System (INIS)

    Zhao Feiyun; Xu Chaoyin; Wang Qiuping; Wang Naxiu

    2003-01-01

    A water cooling mask is an important part of the front end, usually used for absorbing high power density synchrotron radiation to protect the apparatus from being destroyed by heat load. This paper presents the structure of the water cooling mask and the thermal analysis results of the mask block at NSRL using Program ANSYS5.5

  8. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    Science.gov (United States)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  9. Face Masks for Noninvasive Ventilation: Fit, Excess Skin Hydration, and Pressure Ulcers.

    Science.gov (United States)

    Visscher, Marty O; White, Cynthia C; Jones, Jennifer M; Cahill, Thomas; Jones, Donna C; Pan, Brian S

    2015-11-01

    Pressure ulcers (stages III and IV) are serious safety events (ie, never events). Healthcare institutions are no longer reimbursed for costs to care for affected patients. Medical devices are the leading cause of pediatric pressure ulcers. Face masks for noninvasive ventilation were associated with a high percentage of pressure ulcers at our institution. A prospective cohort study investigated factors contributing to pressure ulcer development in 50 subjects using face masks for noninvasive ventilation. Color imaging, 3-dimensional surface imaging, and skin hydration measurements were used to identify early skin compromise and evaluate 3 interventions to reduce trauma: (1) a silicone foam dressing, (2) a water/polyethylene oxide hydrogel dressing, and (3) a flexible cloth mask. A novel mask fit technique was used to examine the impact of fit on the potential for skin compromise. Fifty subjects age 10.4 ± 9.1 y participated with color images for 22, hydration for 34, and mask fit analysis for 16. Of these, 69% had diagnoses associated with craniofacial anomalies. Stage I pressure ulcers were the most common injury. Skin hydration difference was 317 ± 29 for sites with erythema versus 75 ± 28 for sites without erythema (P skin erythema and pressure ulcers. This fit method is currently being utilized to select best-fit masks from available options, to identify the potential areas of increased tissue pressure, and to prevent skin injuries and their complications. Improvement of mask fit is an important priority for improving respiratory outcomes. Strategies to maintain normal skin hydration are important for protecting tissue integrity. Copyright © 2015 by Daedalus Enterprises.

  10. Office noise: Can headphones and masking sound attenuate distraction by background speech?

    Science.gov (United States)

    Jahncke, Helena; Björkeholm, Patrik; Marsh, John E; Odelius, Johan; Sörqvist, Patrik

    2016-11-22

    Background speech is one of the most disturbing noise sources at shared workplaces in terms of both annoyance and performance-related disruption. Therefore, it is important to identify techniques that can efficiently protect performance against distraction. It is also important that the techniques are perceived as satisfactory and are subjectively evaluated as effective in their capacity to reduce distraction. The aim of the current study was to compare three methods of attenuating distraction from background speech: masking a background voice with nature sound through headphones, masking a background voice with other voices through headphones and merely wearing headphones (without masking) as a way to attenuate the background sound. Quiet was deployed as a baseline condition. Thirty students participated in an experiment employing a repeated measures design. Performance (serial short-term memory) was impaired by background speech (1 voice), but this impairment was attenuated when the speech was masked - and in particular when it was masked by nature sound. Furthermore, perceived workload was lowest in the quiet condition and significantly higher in all other sound conditions. Notably, the headphones tested as a sound-attenuating device (i.e. without masking) did not protect against the effects of background speech on performance and subjective work load. Nature sound was the only masking condition that worked as a protector of performance, at least in the context of the serial recall task. However, despite the attenuation of distraction by nature sound, perceived workload was still high - suggesting that it is difficult to find a masker that is both effective and perceived as satisfactory.

  11. Constructing optimized binary masks for reservoir computing with delay systems

    Science.gov (United States)

    Appeltant, Lennert; van der Sande, Guy; Danckaert, Jan; Fischer, Ingo

    2014-01-01

    Reservoir computing is a novel bio-inspired computing method, capable of solving complex tasks in a computationally efficient way. It has recently been successfully implemented using delayed feedback systems, allowing to reduce the hardware complexity of brain-inspired computers drastically. In this approach, the pre-processing procedure relies on the definition of a temporal mask which serves as a scaled time-mutiplexing of the input. Originally, random masks had been chosen, motivated by the random connectivity in reservoirs. This random generation can sometimes fail. Moreover, for hardware implementations random generation is not ideal due to its complexity and the requirement for trial and error. We outline a procedure to reliably construct an optimal mask pattern in terms of multipurpose performance, derived from the concept of maximum length sequences. Not only does this ensure the creation of the shortest possible mask that leads to maximum variability in the reservoir states for the given reservoir, it also allows for an interpretation of the statistical significance of the provided training samples for the task at hand.

  12. Masked Hypertension and Incident Clinic Hypertension among African Americans in the Jackson Heart Study

    Science.gov (United States)

    Abdalla, Marwah; Booth, John N.; Seals, Samantha R.; Spruill, Tanya M.; Viera, Anthony J.; Diaz, Keith M.; Sims, Mario; Muntner, Paul; Shimbo, Daichi

    2016-01-01

    Masked hypertension, defined as non-elevated clinic blood pressure and elevated out-of-clinic blood pressure may be an intermediary stage in the progression from normotension to hypertension. We examined the associations of out-of-clinic blood pressure and masked hypertension using ambulatory blood pressure monitoring with incident clinic hypertension in the Jackson Heart Study, a prospective cohort of African Americans. Analyses included 317 participants with clinic blood pressure hypertension was defined as mean daytime blood pressure ≥135/85mmHg; masked nighttime hypertension as mean nighttime blood pressure ≥120/70mmHg; and masked 24-hour hypertension as mean 24-hour blood pressure ≥130/80mmHg. Incident clinic hypertension, assessed at study visits in 2005–2008 and 2009–2012, was defined as the first visit with clinic systolic/diastolic blood pressure ≥140/90mmHg or antihypertensive medication use. During a median follow-up of 8.1 years, there were 187 (59.0%) incident cases of clinic hypertension. Clinic hypertension developed in 79.2% and 42.2% of participants with and without any masked hypertension, 85.7% and 50.4% with and without masked daytime hypertension, 79.9% and 43.7% with and without masked nighttime hypertension and 85.7% and 48.2% with and without masked 24-hour hypertension, respectively. Multivariable-adjusted hazard ratios (95% CI) of incident clinic hypertension for any masked hypertension and masked daytime, nighttime, and 24-hour hypertension were 2.13 (1.51–3.02), 1.79 (1.24–2.60), 2.22 (1.58–3.12), and 1.91 (1.32–2.75), respectively. These findings suggest that ambulatory blood pressure monitoring can identify African Americans at increased risk for developing clinic hypertension. PMID:27185746

  13. Posleslovije k "Zolotoi maske" / Boris Tuch

    Index Scriptorium Estoniae

    Tuch, Boris, 1946-

    2005-01-01

    Vene draamafestivali "Kuldne mask Eestis" lavastusest : "September.doc", lav. Mihhail Ugarov, I. Võrõpajevi "Hapnik" lav. Viktor Rõzhakov Teatr.doc esituses, Sophoklese "Kuningas Oidipus" lav. Andrei Prikotenko Peterburi Teatri Liteinõi esituses, M. Ugarovi lavastus "OblomOFF"

  14. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  15. Oxygen-Partial-Pressure Sensor for Aircraft Oxygen Mask

    Science.gov (United States)

    Kelly, Mark; Pettit, Donald

    2003-01-01

    A device that generates an alarm when the partial pressure of oxygen decreases to less than a preset level has been developed to help prevent hypoxia in a pilot or other crewmember of a military or other high-performance aircraft. Loss of oxygen partial pressure can be caused by poor fit of the mask or failure of a hose or other component of an oxygen distribution system. The deleterious physical and mental effects of hypoxia cause the loss of a military aircraft and crew every few years. The device is installed in the crewmember s oxygen mask and is powered via communication wiring already present in all such oxygen masks. The device (see figure) includes an electrochemical sensor, the output potential of which is proportional to the partial pressure of oxygen. The output of the sensor is amplified and fed to the input of a comparator circuit. A reference potential that corresponds to the amplified sensor output at the alarm oxygen-partial-pressure level is fed to the second input of the comparator. When the sensed partial pressure of oxygen falls below the minimum acceptable level, the output of the comparator goes from the low state (a few millivolts) to the high state (near the supply potential, which is typically 6.8 V for microphone power). The switching of the comparator output to the high state triggers a tactile alarm in the form of a vibration in the mask, generated by a small 1.3-Vdc pager motor spinning an eccentric mass at a rate between 8,000 and 10,000 rpm. The sensation of the mask vibrating against the crewmember s nose is very effective at alerting the crewmember, who may already be groggy from hypoxia and is immersed in an environment that is saturated with visual cues and sounds. Indeed, the sensation is one of rudeness, but such rudeness could be what is needed to stimulate the crewmember to take corrective action in a life-threatening situation.

  16. Particulate face masks for protection against airborne pathogens - one size does not fit all: an observational study.

    Science.gov (United States)

    Winter, Susan; Thomas, Jane H; Stephens, Dianne P; Davis, Joshua S

    2010-03-01

    To determine the proportion of hospital staff who pass fit tests with each of three commonly used particulate face masks, and factors influencing preference and fit test results. Observational study. 50 healthy hospital staff volunteers in an 18-bed general intensive care unit in an Australian teaching hospital. Participants were administered a questionnaire about mask use and their preferred mask and underwent qualitative fit-testing with each of three different particulate masks: Kimberly-Clark Tecnol FluidShield N95 particulate filter respirator (KC), 3M Flat Fold 9320 particulate respirator and 3M 8822 particulate respirator with exhalation valve. Participants who failed fittesting were trained in correct mask donning, and fittesting was repeated. Proportion of participants who passed the fit test for each mask and the effect of training. The proportion of participants who passed a fit test was low for all three masks tested (KC, 16%; flat fold, 28%; and valved, 34%). Rates improved after training: the first mask tested fitted in 18% of participants pre-training and 40% post-training (P = 0.02). None of the masks fitted for 28% of participants. There were no significant predictors of fit-test results. A large proportion of individuals failed a fit test with any given mask, and we were not able to identify any factors that predicted mask fit in individuals. Training on mask use improved the rates of adequate fit. Hospitals should carry a range of P2 masks, and should conduct systematic P2 mask training and fit-testing programs for all staff potentially exposed to airborne pathogens.

  17. X-ray masks

    International Nuclear Information System (INIS)

    Greenwood, J.C.; Satchell, D.W.

    1984-01-01

    In semiconductor manufacture, where X-ray irradiation is used, a thin silicon membrane can be used as an X-ray mask. This membrane has areas on which are patterns to define the regions to be irradiated. These regions are of antireflection material. With the thin, in the order of 3 microns, membranes used, fragility is a problem. Hence a number of ribs of silicon are formed integral with the membrane, and which are relatively thick, 5 to 10 microns. The ribs may be formed by localised deeper boron deposition followed by a selective etch. (author)

  18. Masking as an effective quality control method for next-generation sequencing data analysis.

    Science.gov (United States)

    Yun, Sajung; Yun, Sijung

    2014-12-13

    Next generation sequencing produces base calls with low quality scores that can affect the accuracy of identifying simple nucleotide variation calls, including single nucleotide polymorphisms and small insertions and deletions. Here we compare the effectiveness of two data preprocessing methods, masking and trimming, and the accuracy of simple nucleotide variation calls on whole-genome sequence data from Caenorhabditis elegans. Masking substitutes low quality base calls with 'N's (undetermined bases), whereas trimming removes low quality bases that results in a shorter read lengths. We demonstrate that masking is more effective than trimming in reducing the false-positive rate in single nucleotide polymorphism (SNP) calling. However, both of the preprocessing methods did not affect the false-negative rate in SNP calling with statistical significance compared to the data analysis without preprocessing. False-positive rate and false-negative rate for small insertions and deletions did not show differences between masking and trimming. We recommend masking over trimming as a more effective preprocessing method for next generation sequencing data analysis since masking reduces the false-positive rate in SNP calling without sacrificing the false-negative rate although trimming is more commonly used currently in the field. The perl script for masking is available at http://code.google.com/p/subn/. The sequencing data used in the study were deposited in the Sequence Read Archive (SRX450968 and SRX451773).

  19. 42 CFR 84.1135 - Half-mask facepieces, full facepieces, hoods, helmets, and mouthpieces; fit; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Half-mask facepieces, full facepieces, hoods... Air-Purifying High Efficiency Respirators and Combination Gas Masks § 84.1135 Half-mask facepieces, full facepieces, hoods, helmets, and mouthpieces; fit; minimum requirements. (a) Half-mask facepieces...

  20. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  1. Application of advanced structure to multi-tone mask for FPD process

    Science.gov (United States)

    Song, Jin-Han; Jeong, Jin-Woong; Kim, Kyu-Sik; Jeong, Woo-Gun; Yun, Sang-Pil; Lee, Dong-Heok; Choi, Sang-Soo

    2017-07-01

    In accordance with improvement of FPD technology, masks such as phase shift mask (PSM) and multi-tone mask (MTM) for a particular purpose also have been developed. Above all, the MTM consisted of more than tri-tone transmittance has a substantial advantage which enables to reduce the number of mask demand in FPD fabrication process contrast to normal mask of two-tone transmittance.[1,2] A chromium (Cr)-based MTM (Typically top type) is being widely employed because of convenience of etch process caused by its only Cr-based structure consisted of Cr absorber layer and Cr half-tone layer. However, the top type of Cr-based MTM demands two Cr sputtering processes after each layer etching process and writing process. For this reason, a different material from the Cr-based MTM is required for reduction of mask fabrication time and cost. In this study, we evaluate a MTM which has a structure combined Cr with molybdenum silicide (MoSi) to resolve the issues mentioned above. The MoSi which is demonstrated by integrated circuit (IC) process is a suitable material for MTM evaluation. This structure could realize multi-transmittance in common with the Cr-based MTM. Moreover, it enables to reduce the number of sputtering process. We investigate a optimized structure upon consideration of productivity along with performance such as critical dimension (CD) variation and transmittance range of each structure. The transmittance is targeted at h-line wavelength (405 nm) in the evaluation. Compared with Cr-based MTM, the performances of all Cr-/MoSi-based MTMs are considered.

  2. High-contrast coronagraph performance in the presence of focal plane mask defects

    Science.gov (United States)

    Sidick, Erkin; Shaklan, Stuart; Balasubramanian, Kunjithapatham; Cady, Eric

    2014-08-01

    We have carried out a study of the performance of high-contrast coronagraphs in the presence of mask defects. We have considered the effects of opaque and dielectric particles of various dimensions, as well as systematic mask fabrication errors and the limitations of material properties in creating dark holes. We employ sequential deformable mirrors to compensate for phase and amplitude errors, and show the limitations of this approach in the presence of coronagraph image-mask defects.

  3. Performance of an improved logarithmic phase mask with optimized parameters in a wavefront-coding system.

    Science.gov (United States)

    Zhao, Hui; Li, Yingcai

    2010-01-10

    In two papers [Proc. SPIE 4471, 272-280 (2001) and Appl. Opt. 43, 2709-2721 (2004)], a logarithmic phase mask was proposed and proved to be effective in extending the depth of field; however, according to our research, this mask is not that perfect because the corresponding defocused modulation transfer function has large oscillations in the low-frequency region, even when the mask is optimized. So, in a previously published paper [Opt. Lett. 33, 1171-1173 (2008)], we proposed an improved logarithmic phase mask by making a small modification. The new mask can not only eliminate the drawbacks to a certain extent but can also be even less sensitive to focus errors according to Fisher information criteria. However, the performance comparison was carried out with the modified mask not being optimized, which was not reasonable. In this manuscript, we optimize the modified logarithmic phase mask first before analyzing its performance and more convincing results have been obtained based on the analysis of several frequently used metrics.

  4. Polarized phase shift mask: concept, design, and potential advantages to photolithography process and physical design

    Science.gov (United States)

    Wang, Ruoping; Grobman, Warren D.; Reich, Alfred J.; Thompson, Matthew A.

    2002-03-01

    In this paper we introduce the concept and design of a novel phase shift mask technology, Polarized Phase Shift Mask (P:PSM). The P:PSM technology utilizes non-interference between orthogonally polarized light sources to avoid undesired destructive interference seen in conventional two-phase shift mask technology. Hence P:PSM solves the well-known 'phase edge' or 'phase conflict' problem. By obviating the 2nd exposure and 2nd mask in current Complementary Phase Shift Mask (C:PSM) technology, this single mask/single exposure technology offers significant advantages towards photolithography process as well as pattern design. We use examples of typical design and process difficulties associated with the C:PSM technology to illustrate the advantages of the P:PSM technology. We present preliminary aerial image simulation results that support the potential of this new reticle technology for enhanced design flexibility. We also propose possible mask structures and manufacturing methods for building a P:PSM.

  5. The relationship between masking and short-term consolidation during recall from visual working memory.

    Science.gov (United States)

    Ricker, Timothy J; Sandry, Joshua

    2018-04-10

    The presentation of a similar but irrelevant stimulus immediately following presentation of a memory item is called masking. Masking is known to reduce performance on working memory tests. This is the type of memory used to hold information in mind for brief periods of time for use in ongoing cognition. Two approaches to understanding masking effects have been proposed in different literatures. Working memory researchers often assume that the reduction in working memory performance after masking is because masking interferes with a transient sensory representation that is needed to complete consolidation into a working memory state. Researchers focused on the attentional blink, a finding that attention cannot be directed to new stimuli during working memory consolidation, have an alternative theory. Attentional blink researchers assume that masking slows the short-term consolidation process, thereby extending the length of the attentional blink. In two experiments, we contrast these two approaches to explaining masking effects and investigate the validity of both hypotheses. Some aspects of both approaches are validated, but neither theoretical perspective alone sufficiently explains the entire pattern of results. © 2018 New York Academy of Sciences.

  6. Design of Polymeric Nanofiber Gauze Mask to Prevent Inhaling PM2.5 Particles from Haze Pollution

    Directory of Open Access Journals (Sweden)

    Xingzhou Li

    2015-01-01

    Full Text Available Recently, PM2.5 (particulate matter with diameter of 2.5 micron or less has become a major health hazard from the polluted air in many cities in China. The regular gauze masks are used to prevent inhaling the PM2.5 fine particles; however, those masks are not able to filter out the PM2.5 because of the large porosity of the mask materials. Some well-prevented masks usually have poor breathability, which increases other health risks. In this study, a polysulfone based nanofiber for mask filtration material was synthesized by electrospinning. That nanofiber mask material was characterized by SEM, air permeability test, and PM2.5 trapping experiment. The results indicate that nanofiber mask material can efficiently filter out the PM2.5 particles and simultaneously preserve a good breathability. We attribute such improvement to the nanoscaled fibers, having the same porosity as that of regular gauze mask but with extremely reduced local interfiber space.

  7. Multi-part mask for implanting workpieces

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.

    2016-05-10

    A multi-part mask has a pattern plate, which includes a planar portion that has the desired aperture pattern to be used during workpiece processing. The multi-part mask also has a mounting frame, which is used to hold the pattern plate. Prior to assembly, the pattern plate has an aligning portion, which has one or more holes through which reusable alignment pins are inserted. These alignment pins enter kinematic joints disposed on the mounting frame, which serve to precisely align the pattern plate to the mounting frame. After the pattern plate has been secured to the mounting frame, the aligning portion can be detached from the pattern plate. The alignment pins can be reused at a later time. In some embodiments, the pattern plate can later be removed from the mounting frame, so that the mounting frame may be reused.

  8. Method and apparatus for monitoring oxygen partial pressure in air masks

    Science.gov (United States)

    Kelly, Mark E. (Inventor); Pettit, Donald R. (Inventor)

    2006-01-01

    Method and apparatus are disclosed for monitoring an oxygen partial pressure in an air mask and providing a tactile warning to the user. The oxygen partial pressure in the air mask is detected using an electrochemical sensor, the output signal from which is provided to a comparator. The comparator compares the output signal with a preset reference value or range of values representing acceptable oxygen partial pressures. If the output signal is different than the reference value or outside the range of values, the air mask is vibrated by a vibrating motor to alert the user to a potentially hypoxic condition.

  9. Comparison of Masking Level Difference in Patients with Multiple Sclerosis and Healthy Control Group

    Directory of Open Access Journals (Sweden)

    Soghrat Faghihzadeh

    2012-03-01

    Full Text Available Background and Aim: Multiple sclerosis (MS is a neurological disorder that involves central nervous system. Studies have showed that multiple sclerosis affects behavioral central auditory tests, such as masking release or masking level difference (MLD. The purpose of this study is to compare the masking level difference between multiple sclerosis patients and normal subjects.Methods: This cross sectional and non-interventional study was conducted on 32 multiple sclerosis patients aged between 20-50 years and 32 controls matched for age and gender in Faculty of Rehabilitation, Tehran University of Medical Sciences. masking level difference test was performed on each subject.Results: The mean masking level difference in the two groups was significantly different (p<0.01 however, gender did not prove to play a role in this difference.Conclusion: As part of the multiple sclerosis diagnosis panel, masking level difference test is an efficient modality for evaluation of hearing impairment and monitoring of rehabilitation progress.

  10. Contribution of Binaural Masking Release to Improved Speech Intelligibility for different Masker types.

    Science.gov (United States)

    Sutojo, Sarinah; van de Par, Steven; Schoenmaker, Esther

    2018-06-01

    In situations with competing talkers or in the presence of masking noise, speech intelligibility can be improved by spatially separating the target speaker from the interferers. This advantage is generally referred to as spatial release from masking (SRM) and different mechanisms have been suggested to explain it. One proposed mechanism to benefit from spatial cues is the binaural masking release, which is purely stimulus driven. According to this mechanism, the spatial benefit results from differences in the binaural cues of target and masker, which need to appear simultaneously in time and frequency to improve the signal detection. In an alternative proposed mechanism, the differences in the interaural cues improve the segregation of auditory streams, a process, which involves top-down processing rather than being purely stimulus driven. Other than the cues that produce binaural masking release, the interaural cue differences between target and interferer required to improve stream segregation do not have to appear simultaneously in time and frequency. This study is concerned with the contribution of binaural masking release to SRM for three masker types that differ with respect to the amount of energetic masking they exert. Speech intelligibility was measured, employing a stimulus manipulation that inhibits binaural masking release, and analyzed with a metric to account for the number of better-ear glimpses. Results indicate that the contribution of the stimulus-driven binaural masking release plays a minor role while binaural stream segregation and the availability of glimpses in the better ear had a stronger influence on improving the speech intelligibility. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  11. Management of birth asphyxia in home deliveries in rural Gadchiroli: the effect of two types of birth attendants and of resuscitating with mouth-to-mouth, tube-mask or bag-mask.

    Science.gov (United States)

    Bang, Abhay T; Bang, Rani A; Baitule, Sanjay B; Reddy, Hanimi M; Deshmukh, Mahesh D

    2005-03-01

    To evaluate the effect of home-based neonatal care on birth asphyxia and to compare the effectiveness of two types of workers and three methods of resuscitation in home delivery. In a field trial of home-based neonatal care in rural Gadchiroli, India, birth asphyxia in home deliveries was managed differently during different phases. Trained traditional birth attendants (TBA) used mouth-to-mouth resuscitation in the baseline years (1993 to 1995). Additional village health workers (VHWs) only observed in 1995 to 1996. In the intervention years (1996 to 2003), they used tube-mask (1996 to 1999) and bag-mask (1999 to 2003). The incidence, case fatality (CF) and asphyxia-specific mortality rate (ASMR) during different phases were compared. During the intervention years, 5033 home deliveries occurred. VHWs were present during 84% home deliveries. The incidence of mild birth asphyxia decreased by 60%, from 14% in the observation year (1995 to 1996) to 6% in the intervention years (pASMR by 65%, from 11 to 4% (pASMR by 12%, tube-mask further reduced the CF by 27% and the ASMR by 67%. The bag-mask showed an additional decrease in CF of 39% and in the fresh stillbirth rate of 33% in comparison to tube-mask (not significant). The cost of bag and mask was US dollars 13 per averted death. Oxytocic injection administered by unqualified doctors showed an odds ratio of three for the occurrence of severe asphyxia or fresh stillbirth. Home-based interventions delivered by a team of TBA and a semiskilled VHW reduced the asphyxia-related neonatal mortality by 65% compared to only TBA. The bag-mask appears to be superior to tube-mask or mouth-to-mouth resuscitation, with an estimated equipment cost of US dollars 13 per death averted.

  12. Theoretical study of magnetic pattern replication by He+ ion irradiation through stencil masks

    International Nuclear Information System (INIS)

    Devolder, T.; Chappert, C.; Bernas, H.

    2002-01-01

    We have developed an irradiation technique that allows us to tune the magnetic properties of Co/Pt multilayers without affecting their roughness. The planarity and the ability to independently control nanostructure size and coercivity make our technique very appealing for magnetic recording. We study the irradiation-induced 1:1 replication of features drilled in a stencil mask. Both the 'gap' G between the magnetic film and the mask, and the aspect ratio (AR) of the mask features are analyzed, in view of the ion straggling in the mask resulting in collateral damages. Optimal gap is such that D<< G<< D/tan(α) (typically 0.1<< G<<25 μm), where the D is the feature size. The replication quality is best for AR≥3. Since the allowed gap interval is wide, we anticipate that mask fast positioning will be possible for the applications to magnetic recording

  13. Masked rat: an x-ray-induced mutant with chronic blepharitis, alopecia, and pasteurellosis

    International Nuclear Information System (INIS)

    Kent, R.L.; Lutzner, M.A.; Hansen, C.T.

    1976-01-01

    An autosomal recessive mutation had been previously x-ray-induced in the rat and named the masked rat (genotype mk/mk). This study describes the mutant's appearance, histology, and microflora. The rat's eyelids were swollen, often to the point of closure, and its face was partially covered by a brownish crust, giving the mutant a mask-like appearance. The chronic blepharitis was also accompanied by alopecia that appeared as bare patches across the mutant's back. Pasteurella pneumotropica was found in eyelids and on skin from all masked rats. The normal rat demonstrated a resistance to Pasteurella pneumotropica infection, or, conversely, the masked rat appeared to be genetically predisposed to pasteurellosis

  14. Mask Making: The Use of the Expressive Arts in Leadership Development.

    Science.gov (United States)

    Jones, Angela Thomas

    1992-01-01

    Outdoor educators in training engaged in a mask-making activity involving partners. One person made the mask and the other provided his or her face as the mold. The anxiety-provoking experience invited an intimate exchange between partners around issues of intimacy, trust, and compassion. (KS)

  15. Type of mask may impact on continuous positive airway pressure adherence in apneic patients.

    Directory of Open Access Journals (Sweden)

    Jean Christian Borel

    Full Text Available RATIONALE: In obstructive sleep apnea patients (OSA, continuous positive airway pressure (CPAP adherence is crucial to improve symptoms and cardiometabolic outcomes. The choice of mask may influence CPAP adherence but this issue has never been addressed properly. OBJECTIVE: To evaluate the impact of nasal pillows, nasal and oronasal masks on CPAP adherence in a cohort of OSA. METHODS: Newly CPAP treated OSA participating in "Observatoire Sommeil de la Fédération de Pneumologie", a French national prospective cohort, were included between March 2009 and December 2011. Anthropometric data, medical history, OSA severity, sleepiness, depressive status, treatment modalities (auto-CPAP versus fixed pressure, pressure level, interface type, use of humidifiers and CPAP-related side effects were included in multivariate analysis to determine independent variables associated with CPAP adherence. RESULTS: 2311 OSA (age = 57(12 years, apnea+hypopnea index = 41(21/h, 29% female were included. Nasal masks, oronasal masks and nasal pillows were used by 62.4, 26.2 and 11.4% of the patients, respectively. In univariate analysis, oronasal masks and nasal pillows were associated with higher risk of CPAP non-adherence. CPAP non-adherence was also associated with younger age, female gender, mild OSA, gastroesophageal reflux, depression status, low effective pressure and CPAP-related side effects. In multivariate analysis, CPAP non-adherence was associated with the use of oronasal masks (OR = 2.0; 95%CI = 1.6; 2.5, depression, low effective pressure, and side effects. CONCLUSION: As oronasal masks negatively impact on CPAP adherence, a nasal mask should be preferred as the first option. Patients on oronasal masks should be carefully followed.

  16. Type of mask may impact on continuous positive airway pressure adherence in apneic patients.

    Science.gov (United States)

    Borel, Jean Christian; Tamisier, Renaud; Dias-Domingos, Sonia; Sapene, Marc; Martin, Francis; Stach, Bruno; Grillet, Yves; Muir, Jean François; Levy, Patrick; Series, Frederic; Pepin, Jean-Louis

    2013-01-01

    In obstructive sleep apnea patients (OSA), continuous positive airway pressure (CPAP) adherence is crucial to improve symptoms and cardiometabolic outcomes. The choice of mask may influence CPAP adherence but this issue has never been addressed properly. To evaluate the impact of nasal pillows, nasal and oronasal masks on CPAP adherence in a cohort of OSA. Newly CPAP treated OSA participating in "Observatoire Sommeil de la Fédération de Pneumologie", a French national prospective cohort, were included between March 2009 and December 2011. Anthropometric data, medical history, OSA severity, sleepiness, depressive status, treatment modalities (auto-CPAP versus fixed pressure, pressure level, interface type, use of humidifiers) and CPAP-related side effects were included in multivariate analysis to determine independent variables associated with CPAP adherence. 2311 OSA (age = 57(12) years, apnea+hypopnea index = 41(21)/h, 29% female) were included. Nasal masks, oronasal masks and nasal pillows were used by 62.4, 26.2 and 11.4% of the patients, respectively. In univariate analysis, oronasal masks and nasal pillows were associated with higher risk of CPAP non-adherence. CPAP non-adherence was also associated with younger age, female gender, mild OSA, gastroesophageal reflux, depression status, low effective pressure and CPAP-related side effects. In multivariate analysis, CPAP non-adherence was associated with the use of oronasal masks (OR = 2.0; 95%CI = 1.6; 2.5), depression, low effective pressure, and side effects. As oronasal masks negatively impact on CPAP adherence, a nasal mask should be preferred as the first option. Patients on oronasal masks should be carefully followed.

  17. Interactions between concentric form-from-structure and face perception revealed by visual masking but not adaptation

    Science.gov (United States)

    Feczko, Eric; Shulman, Gordon L.; Petersen, Steven E.; Pruett, John R.

    2014-01-01

    Findings from diverse subfields of vision research suggest a potential link between high-level aspects of face perception and concentric form-from-structure perception. To explore this relationship, typical adults performed two adaptation experiments and two masking experiments to test whether concentric, but not nonconcentric, Glass patterns (a type of form-from-structure stimulus) utilize a processing mechanism shared by face perception. For the adaptation experiments, subjects were presented with an adaptor for 5 or 20 s, prior to discriminating a target. In the masking experiments, subjects saw a mask, then a target, and then a second mask. Measures of discriminability and bias were derived and repeated measures analysis of variance tested for pattern-specific masking and adaptation effects. Results from Experiment 1 show no Glass pattern-specific effect of adaptation to faces; results from Experiment 2 show concentric Glass pattern masking, but not adaptation, may impair upright/inverted face discrimination; results from Experiment 3 show concentric and radial Glass pattern masking impaired subsequent upright/inverted face discrimination more than translational Glass pattern masking; and results from Experiment 4 show concentric and radial Glass pattern masking impaired subsequent face gender discrimination more than translational Glass pattern masking. Taken together, these findings demonstrate interactions between concentric form-from-structure and face processing, suggesting a possible common processing pathway. PMID:24563526

  18. Accurate masking technology for high-resolution powder blasting

    Science.gov (United States)

    Pawlowski, Anne-Gabrielle; Sayah, Abdeljalil; Gijs, Martin A. M.

    2005-07-01

    We have combined eroding 10 µm diameter Al2O3 particles with a new masking technology to realize the smallest and most accurate possible structures by powder blasting. Our masking technology is based on the sequential combination of two polymers:(i) the brittle epoxy resin SU8 for its photosensitivity and (ii) the elastic and thermocurable poly-dimethylsiloxane for its large erosion resistance. We have micropatterned various types of structures with a minimum width of 20 µm for test structures with an aspect ratio of 1, and 50 µm for test structures with an aspect ratio of 2.

  19. Ensuring Confidentiality of Geocoded Health Data: Assessing Geographic Masking Strategies for Individual-Level Data.

    Science.gov (United States)

    Zandbergen, Paul A

    2014-01-01

    Public health datasets increasingly use geographic identifiers such as an individual's address. Geocoding these addresses often provides new insights since it becomes possible to examine spatial patterns and associations. Address information is typically considered confidential and is therefore not released or shared with others. Publishing maps with the locations of individuals, however, may also breach confidentiality since addresses and associated identities can be discovered through reverse geocoding. One commonly used technique to protect confidentiality when releasing individual-level geocoded data is geographic masking. This typically consists of applying a certain amount of random perturbation in a systematic manner to reduce the risk of reidentification. A number of geographic masking techniques have been developed as well as methods to quantity the risk of reidentification associated with a particular masking method. This paper presents a review of the current state-of-the-art in geographic masking, summarizing the various methods and their strengths and weaknesses. Despite recent progress, no universally accepted or endorsed geographic masking technique has emerged. Researchers on the other hand are publishing maps using geographic masking of confidential locations. Any researcher publishing such maps is advised to become familiar with the different masking techniques available and their associated reidentification risks.

  20. Ensuring Confidentiality of Geocoded Health Data: Assessing Geographic Masking Strategies for Individual-Level Data

    Directory of Open Access Journals (Sweden)

    Paul A. Zandbergen

    2014-01-01

    Full Text Available Public health datasets increasingly use geographic identifiers such as an individual’s address. Geocoding these addresses often provides new insights since it becomes possible to examine spatial patterns and associations. Address information is typically considered confidential and is therefore not released or shared with others. Publishing maps with the locations of individuals, however, may also breach confidentiality since addresses and associated identities can be discovered through reverse geocoding. One commonly used technique to protect confidentiality when releasing individual-level geocoded data is geographic masking. This typically consists of applying a certain amount of random perturbation in a systematic manner to reduce the risk of reidentification. A number of geographic masking techniques have been developed as well as methods to quantity the risk of reidentification associated with a particular masking method. This paper presents a review of the current state-of-the-art in geographic masking, summarizing the various methods and their strengths and weaknesses. Despite recent progress, no universally accepted or endorsed geographic masking technique has emerged. Researchers on the other hand are publishing maps using geographic masking of confidential locations. Any researcher publishing such maps is advised to become familiar with the different masking techniques available and their associated reidentification risks.

  1. Prevention of oral bacterial flora transmission by using mouth-to-mask ventilation during CPR.

    Science.gov (United States)

    Cydulka, R K; Connor, P J; Myers, T F; Pavza, G; Parker, M

    1991-01-01

    The Emergency Cardiac Care Committee of the American Heart Association has recently recommended utilizing protective barrier precautions during CPR (1,2). We assessed 17 mask and faceshield resuscitation devices for adequacy of barrier protection. Eight of the devices were faceshields (CPR Microshield, Hygenic, MedCare Mask, Resusci, Samaritan, Sealeasy, Portex); 8 were mask devices (Laerdal, Dyna Med, MTM Emergency Lung Ventilator, MTM Emergency Resuscitator, Res-Q-Flo, Rightway Mouth-to-Mask Resuscitation, Trufit), and one of the devices did not meet the criteria for either faceshield or mask (Lifesaver). All masks were disinfected, applied to the investigator's face as directed by the manufacturers' instructions, and then cultured for oral aerobic bacterial flora on the rescuer side. No mask devices cultured positive for oral aerobic bacterial flora, while 6 of 8 faceshield devices cultured positive for oral aerobic bacterial flora (P less than 0.007). The CPR Microshield and the Portex faceshield were the only devices that did not develop a positive culture. We conclude that all ventilation devices with a one-way valve, except the Sealeasy device, provide adequate barrier type protection from oral aerobic bacterial flora when simulating mouth-to-barrier type protection when performing mouth-to-mouth ventilation.

  2. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  3. Combined laser and atomic force microscope lithography on aluminum: Mask fabrication for nanoelectromechanical systems

    DEFF Research Database (Denmark)

    Berini, Abadal Gabriel; Boisen, Anja; Davis, Zachary James

    1999-01-01

    A direct-write laser system and an atomic force microscope (AFM) are combined to modify thin layers of aluminum on an oxidized silicon substrate, in order to fabricate conducting and robust etch masks with submicron features. These masks are very well suited for the production of nanoelectromecha......A direct-write laser system and an atomic force microscope (AFM) are combined to modify thin layers of aluminum on an oxidized silicon substrate, in order to fabricate conducting and robust etch masks with submicron features. These masks are very well suited for the production...... writing, and to perform submicron modifications by AFM oxidation. The mask fabrication for a nanoscale suspended resonator bridge is used to illustrate the advantages of this combined technique for NEMS. (C) 1999 American Institute of Physics. [S0003-6951(99)00221-1]....

  4. 47 CFR 90.691 - Emission mask requirements for EA-based systems.

    Science.gov (United States)

    2010-10-01

    ... 47 Telecommunication 5 2010-10-01 2010-10-01 false Emission mask requirements for EA-based systems. 90.691 Section 90.691 Telecommunication FEDERAL COMMUNICATIONS COMMISSION (CONTINUED) SAFETY AND... of Ea-Based Smr Systems in the 809-824/851-869 Mhz Band § 90.691 Emission mask requirements for EA...

  5. The Bawdy, Brawling, Boisterous World of Korean Mask Dance Dramas: A Brief Essay to Accompany Photographs

    Directory of Open Access Journals (Sweden)

    CedarBough Saeji

    2012-09-01

    Full Text Available Korean mask dance dramas are captivating and entrancing. Comedy, tragedy, and social commentary meld with energetic dance, distinctive masks, and lively music. These dramas are often colloquially and incorrectly referred to as talchum (“mask dance” in Korean—in fact, talchum is one of the major variants of mask dance drama from Hwanghae Province in present-day North Korea. Performers of other variants have long objected to the broad application of the term (akin to calling all in-line skates “Rollerblades” or all MP3 players “iPods”. Only in the late 1990s did academia catch on, when two highly respected midcareer mask dance drama scholars, Bak Jintae (Daegu University and Jeon Kyungwook (Korea University, began to use the terminology talnoli (“mask play” and gamyeon-geuk (“mask drama” in their publications.I needed to watch only one performance, in 1997, to fall in love with the mask dance dramas, but at first the many forms of the genre melded together in my mind. It took repeated exposure and study over more than a dozen years for me to see the profound similarities and differences among all of Korea’s mask dance dramas...

  6. 76 FR 9984 - Airworthiness Directives; B/E Aerospace, Continuous Flow Passenger Oxygen Mask Assembly, Part...

    Science.gov (United States)

    2011-02-23

    ..., Continuous Flow Passenger Oxygen Mask Assembly, Part Numbers 174006-(), 174080-(), 174085-(), 174095... manufacturer and part number of the oxygen mask assemblies installed, an inspection to determine the manufacturing date and modification status if certain oxygen mask assemblies are installed, and corrective...

  7. The contribution of forward masking to saccadic inhibition of return.

    Science.gov (United States)

    Souto, David; Born, Sabine; Kerzel, Dirk

    2018-03-08

    Inhibition of return is the name typically given to the prolonged latency of motor responses directed to a previously cued target location. There is intense debate about the origins of this effect and its function, but most take for granted (despite lack of evidence) that it depends little on forward masking. Therefore, we re-examined the role of forward masking in inhibition of return. Forward masking was indexed by slower saccadic reaction times (SRTs) when the target orientation repeated the cue orientation at the same location. We confirmed effects of orientation repetition in the absence of an attentional bias when cues were presented on both sides of fixation (bilateral presentation). The effect of orientation repetition was reduced with high target contrast, consistent with a low-level origin such as contrast gain control in early visual areas. When presenting cues on only one side of fixation (unilateral presentation), we obtained inhibition of return with longer cue-target intervals and facilitation with targets presented shortly after the cue. The effect of orientation repetition was reduced when facilitation was observed, but was as strong as with bilateral cues when inhibition of return was observed. Therefore, forward masking may contribute to the inhibition of return effect by delaying reaction times to repeated features at the same location, but is not a principal cause of inhibition of return; in agreement with previous views. The saccadic inhibition of return effect is a reaction-time cost when responding to a pre-cued location. Additional object updating costs are typically invoked to explain reaction-time costs observed when cue and target have the same shape. Yet, lower-level, forward masking of the target by the cue can not be ruled out. Importantly, we show an effect of orientation repetition that is consistent with low-level forward masking rather than object updating costs and that does not interact with inhibition of return.

  8. High-charge and multiple-star vortex coronagraphy from stacked vector vortex phase masks.

    Science.gov (United States)

    Aleksanyan, Artur; Brasselet, Etienne

    2018-02-01

    Optical vortex phase masks are now installed at many ground-based large telescopes for high-contrast astronomical imaging. To date, such instrumental advances have been restricted to the use of helical phase masks of the lowest even order, while future giant telescopes will require high-order masks. Here we propose a single-stage on-axis scheme to create high-order vortex coronagraphs based on second-order vortex phase masks. By extending our approach to an off-axis design, we also explore the implementation of multiple-star vortex coronagraphy. An experimental laboratory demonstration is reported and supported by numerical simulations. These results offer a practical roadmap to the development of future coronagraphic tools with enhanced performances.

  9. Collinear masking effect in visual search is independent of perceptual salience.

    Science.gov (United States)

    Jingling, Li; Lu, Yi-Hui; Cheng, Miao; Tseng, Chia-Huei

    2017-07-01

    Searching for a target in a salient region should be easier than looking for one in a nonsalient region. However, we previously discovered a contradictory phenomenon in which a local target in a salient structure was more difficult to find than one in the background. The salient structure was constructed of orientation singletons aligned to each other to form a collinear structure. In the present study, we undertake to determine whether such a masking effect was a result of salience competition between a global structure and the local target. In the first 3 experiments, we increased the salience value of the local target with the hope of adding to its competitive advantage and eventually eliminating the masking effect; nevertheless, the masking effect persisted. In an additional 2 experiments, we reduced salience of the global collinear structure by altering the orientation of the background bars and the masking effect still emerged. Our salience manipulations were validated by a controlled condition in which the global structure was grouped noncollinearly. In this case, local target salience increase (e.g., onset) or global distractor salience reduction (e.g., randomized flanking orientations) effectively removed the facilitation effect of the noncollinear structure. Our data suggest that salience competition is unlikely to explain the collinear masking effect, and other mechanisms such as contour integration, border formation, or the crowding effect may be prospective candidates for further investigation.

  10. Visual exploration of movement and event data with interactive time masks

    Directory of Open Access Journals (Sweden)

    Natalia Andrienko

    2017-03-01

    Full Text Available We introduce the concept of time mask, which is a type of temporal filter suitable for selection of multiple disjoint time intervals in which some query conditions fulfil. Such a filter can be applied to time-referenced objects, such as events and trajectories, for selecting those objects or segments of trajectories that fit in one of the selected time intervals. The selected subsets of objects or segments are dynamically summarized in various ways, and the summaries are represented visually on maps and/or other displays to enable exploration. The time mask filtering can be especially helpful in analysis of disparate data (e.g., event records, positions of moving objects, and time series of measurements, which may come from different sources. To detect relationships between such data, the analyst may set query conditions on the basis of one dataset and investigate the subsets of objects and values in the other datasets that co-occurred in time with these conditions. We describe the desired features of an interactive tool for time mask filtering and present a possible implementation of such a tool. By example of analysing two real world data collections related to aviation and maritime traffic, we show the way of using time masks in combination with other types of filters and demonstrate the utility of the time mask filtering. Keywords: Data visualization, Interactive visualization, Interaction technique

  11. Laboratory demonstration of an optical vortex mask coronagraph using photonic crystal

    Science.gov (United States)

    Murakami, N.; Baba, N.; Ise, A.; Sakamoto, M.; Oka, K.

    2010-10-01

    Photonic crystal, artificial periodic nanostructure, is an attractive device for constructing focal-plane phase-mask coronagraphs such as segmented phase masks (four-quadrant, eight-octant, and 4N-segmented ones) and an optical vortex mask (OVM), because of its extremely small manufacturing defect. Recently, speckle-noise limited contrast has been demonstrated for two monochromatic lasers by using the eight-octant phase-mask made of the photonic crystal (Murakami et al. 2010, ApJ, 714, 772). We applied the photonic-crystal device to the OVM coronagraph. The OVM is more advantageous over the segmented phase masks because it does not have discontinuities other than a central singular point and provides a full on-sky field of view. For generating an achromatic optical vortex, we manufactured an axially-symmetric half-wave plate (ASHWP). It is expected that a size of the manufacturing defect due to the central singularity is an order of several hundreds nanometers. The ASHWP is placed between two circular polarizers for modulating a Pancharatnam phase. A continuous spiral phase modulation is then implemented achromatically. We carried out preliminary laboratory demonstration of the OVM coronagraph using two monochromatic lasers as a model star (wavelengths of 532 nm and 633 nm). We report a principle of the achromatic optical-vortex generation, and results of the laboratory demonstration of the OVM coronagraph.

  12. A “Tuned” Mask Learnt Approach Based on Gravitational Search Algorithm

    Directory of Open Access Journals (Sweden)

    Youchuan Wan

    2016-01-01

    Full Text Available Texture image classification is an important topic in many applications in machine vision and image analysis. Texture feature extracted from the original texture image by using “Tuned” mask is one of the simplest and most effective methods. However, hill climbing based training methods could not acquire the satisfying mask at a time; on the other hand, some commonly used evolutionary algorithms like genetic algorithm (GA and particle swarm optimization (PSO easily fall into the local optimum. A novel approach for texture image classification exemplified with recognition of residential area is detailed in the paper. In the proposed approach, “Tuned” mask is viewed as a constrained optimization problem and the optimal “Tuned” mask is acquired by maximizing the texture energy via a newly proposed gravitational search algorithm (GSA. The optimal “Tuned” mask is achieved through the convergence of GSA. The proposed approach has been, respectively, tested on some public texture and remote sensing images. The results are then compared with that of GA, PSO, honey-bee mating optimization (HBMO, and artificial immune algorithm (AIA. Moreover, feature extracted by Gabor wavelet is also utilized to make a further comparison. Experimental results show that the proposed method is robust and adaptive and exhibits better performance than other methods involved in the paper in terms of fitness value and classification accuracy.

  13. Masking functions and fixed-signal functions for low-level 1000-Hz tones.

    Science.gov (United States)

    Shepherd, Daniel; Hautus, Michael J; Jesteadt, Walt

    2013-06-01

    Masking functions and fixed-signal functions were constructed using a narrow range of pedestal intensities for 10-ms, 1000-Hz gated tones. Data from three experiments agreed with previously reported data, clearly demonstrating negative masking and the pedestal effect. The data extend earlier findings by showing (1) the resilience of the pedestal effect when a background noise masker is introduced; (2) a possible indifference of the fixed-signal function to stimulus duration; (3) the ability of a set of psychometric functions to produce both masking and fixed-signal functions; (4) depending on method, the impact of unit choice on the interpretation of both the pedestal effect and negative masking data. Results are discussed in relation to current psychophysical models, and suggest that accounting for the auditory system's sensitivity to differences in low-level sounds remains a challenge.

  14. Hyper-chaos encryption using convolutional masking and model free unmasking

    International Nuclear Information System (INIS)

    Qi Guo-Yuan; Matondo Sandra Bazebo

    2014-01-01

    In this paper, during the masking process the encrypted message is convolved and embedded into a Qi hyper-chaotic system characterizing a high disorder degree. The masking scheme was tested using both Qi hyper-chaos and Lorenz chaos and indicated that Qi hyper-chaos based masking can resist attacks of the filtering and power spectrum analysis, while the Lorenz based scheme fails for high amplitude data. To unmask the message at the receiving end, two methods are proposed. In the first method, a model-free synchronizer, i.e. a multivariable higher-order differential feedback controller between the transmitter and receiver is employed to de-convolve the message embedded in the receiving signal. In the second method, no synchronization is required since the message is de-convolved using the information of the estimated derivative. (general)

  15. Emotional conditioning to masked stimuli and modulation of visuospatial attention.

    Science.gov (United States)

    Beaver, John D; Mogg, Karin; Bradley, Brendan P

    2005-03-01

    Two studies investigated the effects of conditioning to masked stimuli on visuospatial attention. During the conditioning phase, masked snakes and spiders were paired with a burst of white noise, or paired with an innocuous tone, in the conditioned stimulus (CS)+ and CS- conditions, respectively. Attentional allocation to the CSs was then assessed with a visual probe task, in which the CSs were presented unmasked (Experiment 1) or both unmasked and masked (Experiment 2), together with fear-irrelevant control stimuli (flowers and mushrooms). In Experiment 1, participants preferentially allocated attention to CS+ relative to control stimuli. Experiment 2 suggested that this attentional bias depended on the perceived aversiveness of the unconditioned stimulus and did not require conscious recognition of the CSs during both acquisition and expression. Copyright 2005 APA, all rights reserved.

  16. Complex Pupil Masks for Aberrated Imaging of Closely Spaced Objects

    Science.gov (United States)

    Reddy, A. N. K.; Sagar, D. K.; Khonina, S. N.

    2017-12-01

    Current approach demonstrates the suppression of optical side-lobes and the contraction of the main lobe in the composite image of two object points of the optical system under the influence of defocusing effect when an asymmetric phase edges are imposed over the apodized circular aperture. The resolution of two point sources having different intensity ratio is discussed in terms of the modified Sparrow criterion, functions of the degree of coherence of the illumination, the intensity difference and the degree of asymmetric phase masking. Here we have introduced and explored the effects of focus aberration (defect-of-focus) on the two-point resolution of the optical systems. Results on the aberrated composite image of closely spaced objects with amplitude mask and asymmetric phase masks forms a significant contribution in astronomical and microscopic observations.

  17. Influence of binary mask estimation errors on robust speaker identification

    DEFF Research Database (Denmark)

    May, Tobias

    2017-01-01

    Missing-data strategies have been developed to improve the noise-robustness of automatic speech recognition systems in adverse acoustic conditions. This is achieved by classifying time-frequency (T-F) units into reliable and unreliable components, as indicated by a so-called binary mask. Different...... approaches have been proposed to handle unreliable feature components, each with distinct advantages. The direct masking (DM) approach attenuates unreliable T-F units in the spectral domain, which allows the extraction of conventionally used mel-frequency cepstral coefficients (MFCCs). Instead of attenuating....... Since each of these approaches utilizes the knowledge about reliable and unreliable feature components in a different way, they will respond differently to estimation errors in the binary mask. The goal of this study was to identify the most effective strategy to exploit knowledge about reliable...

  18. 76 FR 41669 - Airworthiness Directives; B/E Aerospace, Continuous Flow Passenger Oxygen Mask Assembly, Part...

    Science.gov (United States)

    2011-07-15

    ... Airworthiness Directives; B/E Aerospace, Continuous Flow Passenger Oxygen Mask Assembly, Part Numbers 174006... manufacturer and part number of the oxygen mask assemblies installed, an inspection to determine the manufacturing date and modification status if certain oxygen mask assemblies are installed, and corrective...

  19. Decoupling Stimulus Duration from Brightness in Metacontrast Masking: Data and Models

    Science.gov (United States)

    Di Lollo, Vincent; Muhlenen, Adrian von; Enns, James T.; Bridgeman, Bruce

    2004-01-01

    A brief target that is visible when displayed alone can be rendered invisible by a trailing stimulus (metacontrast masking). It has been difficult to determine the temporal dynamics of masking to date because increments in stimulus duration have been invariably confounded with apparent brightness (Bloch's law). In the research reported here,…

  20. The Mysterious Noh Mask: Contribution of Multiple Facial Parts to the Recognition of Emotional Expressions

    Science.gov (United States)

    Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo; Kawai, Nobuyuki

    2012-01-01

    Background A Noh mask worn by expert actors when performing on a Japanese traditional Noh drama is suggested to convey countless different facial expressions according to different angles of head/body orientation. The present study addressed the question of how different facial parts of a Noh mask, including the eyebrows, the eyes, and the mouth, may contribute to different emotional expressions. Both experimental situations of active creation and passive recognition of emotional facial expressions were introduced. Methodology/Principal Findings In Experiment 1, participants either created happy or sad facial expressions, or imitated a face that looked up or down, by actively changing each facial part of a Noh mask image presented on a computer screen. For an upward tilted mask, the eyebrows and the mouth shared common features with sad expressions, whereas the eyes with happy expressions. This contingency tended to be reversed for a downward tilted mask. Experiment 2 further examined which facial parts of a Noh mask are crucial in determining emotional expressions. Participants were exposed to the synthesized Noh mask images with different facial parts expressing different emotions. Results clearly revealed that participants primarily used the shape of the mouth in judging emotions. The facial images having the mouth of an upward/downward tilted Noh mask strongly tended to be evaluated as sad/happy, respectively. Conclusions/Significance The results suggest that Noh masks express chimeric emotional patterns, with different facial parts conveying different emotions This appears consistent with the principles of Noh which highly appreciate subtle and composite emotional expressions, as well as with the mysterious facial expressions observed in Western art. It was further demonstrated that the mouth serves as a diagnostic feature in characterizing the emotional expressions. This indicates the superiority of biologically-driven factors over the traditionally