WorldWideScience

Sample records for vapour deposition mocvd

  1. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  2. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  3. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  4. Recent developments in the MOCVD and ALD of rare earth oxides and silicates

    International Nuclear Information System (INIS)

    Jones, Anthony C.; Aspinall, Helen C.; Chalker, Paul R.; Potter, Richard J.; Kukli, Kaupo; Rahtu, Antti; Ritala, Mikko; Leskelae, Markku

    2005-01-01

    Lanthanide, or rare-earth oxides are currently being investigated as alternatives to SiO 2 as the dielectric insulating layer in sub-0.1 μm CMOS technology. Metalorganic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of these high-κ dielectric oxides and in this paper some of our recent research into the MOCVD and ALD of PrO x , La 2 O 3 , Gd 2 O 3 , Nd 2 O 3 and their related silicates are reviewed

  5. Structural characterization of one-dimensional ZnO-based nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Sallet, Vincent; Falyouni, Farid; Marzouki, Ali; Haneche, Nadia; Sartel, Corinne; Lusson, Alain; Galtier, Pierre [Groupe d' Etude de la Matiere Condensee (GEMAC), CNRS-Universite de Versailles St-Quentin, Meudon (France); Agouram, Said [SCSIE, Universitat de Valencia, Burjassot (Spain); Enouz-Vedrenne, Shaima [Thales Research and Technology France, Palaiseau (France); Munoz-Sanjose, Vicente [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, Burjassot (Spain)

    2010-07-15

    Various one-dimensional (1D) ZnO-based nanostructures, including ZnO nano-wires (NWs) grown using vapour-liquid-solid (VLS) process, ZnO/ZnSe core/shell, nitrogen-doped ZnO and ZnMgO NWs were grown by metalorganic chemical vapour deposition (MOCVD). Transmission electron microscopy (TEM) analysis is presented. For all the samples, a high crystalline quality is observed. Some features are emphasized such as the gold contamination of ZnO wires grown under the metal droplets in the VLS process. It is concluded that MOCVD is a suitable technique for the realization of original ZnO nanodevices. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  6. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  7. Thermodynamic investigation of the MOCVD of copper films from bis ...

    Indian Academy of Sciences (India)

    Equilibrium concentrations of various condensed and gaseous phases have been thermodynamically calculated, using the free energy minimization criterion, for the metalorganic chemical vapour deposition (MOCVD) of copper films using bis(2,2,6,6-tetramethyl-3,5-heptadionato)copper(II) as the precursor material.

  8. Thermodynamic analysis of growth of iron oxide films by MOCVD ...

    Indian Academy of Sciences (India)

    Abstract. Thermodynamic calculations, using the criterion of minimization of total Gibbs free energy of the system, have been carried out for the metalorganic chemical vapour deposition (MOCVD) process involving the -ketoesterate complex of iron [tris(-butyl-3-oxo-butanoato)iron(III) or Fe(tbob)3] and molecular oxygen.

  9. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  10. Thermogravimetric evaluation of the suitability of precursors for MOCVD

    International Nuclear Information System (INIS)

    Kunte, G V; Shivashankar, S A; Umarji, A M

    2008-01-01

    A method based on the Langmuir equation for the estimation of vapour pressure and enthalpy of sublimation of subliming compounds is described. The variable temperature thermogravimetric/differential thermogravimetric (TG/DTG) curve of benzoic acid is used to arrive at the instrument parameters. Employing these parameters, the vapour pressure–temperature curves are derived for salicylic acid and camphor from their TG/DTG curves. The values match well with vapour pressure data in the literature, obtained by effusion methods. By employing the Clausius–Clapeyron equation, the enthalpy of sublimation could be calculated. Extending the method further, two precursors for metal-organic chemical vapour deposition (MOCVD) of titanium oxide bis-isopropyl bis tert-butyl 2-oxobutanoato titanium, Ti(O i Pr) 2 (tbob) 2 , and bis-oxo-bis-tertbutyl 2-oxobutanoato titanium, [TiO(tbob) 2 ] 2 , have been evaluated. The complex Ti(O i Pr) 2 (tbob) 2 is found to be a more suitable precursor. This approach can be helpful in quickly screening for the suitability of a compound as a CVD precursor

  11. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  12. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  13. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  14. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  15. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    Science.gov (United States)

    Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  16. MOCVD and ALD of rare earth containing multifunctional materials. From precursor chemistry to thin film deposition and applications

    International Nuclear Information System (INIS)

    Milanov, Andrian Petrov

    2010-01-01

    The present thesis deals with the development of metal-organic complexes of rare elements. They should be used as novel precursors for the production of rare earth thin films by metal-organic chemical vapor deposition (MOCVD) and Atomic Layer Deposition (ALD). Within the work two precursor classes were examined, the tris-Malonato-complexes as well as the tris-Guanidinato-complexes of a series of rare earth metals. The latter showed excellent properties regarding to their volatility, their thermal stability, the defined decomposition and high reactivity towards water. They have been successfully used as precursors for the MOCVD of rare earth oxide layers. By using of a gadolinium guanidinate it could also be shown that the rare earth guanidinates are promising precursors for ALD of rare earth oxide and MOCVD of rare earth nitride layers. [de

  17. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  18. Various types of GaN/InGaN nanostructures grown by MOCVD on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, Mariya M.; Lundin, Wsevolod V.; Zavarin, Evgeniy E.; Lundina, Elena Yu.; Troshkov, Sergey I.; Davydov, Valery Yu.; Yagovkina, Mariya A.; Brunkov, Pavel N.; Tsatsulnikov, Andrey F. [Ioffe Physico-Technical Institute of the RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2013-03-15

    In this paper we obtained GaN and InGaN nanowires on AlN/Si (111) templates via pulsed Metal Organic Chemical Vapour Deposition (MOCVD). The growth modes were investigated, in which selective growth is possible. The impact of NH{sub 3} flow and TMG flow and exposure time were investigated. Also the possibility of using indium catalyst was studied. It was shown that In can be used in Au-In melt and as self-sufficient catalyst. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Monolithic Integration of Sampled Grating DBR with Electroabsorption Modulator by Combining Selective-Area-Growth MOCVD and Quantum-Well Intermixing

    International Nuclear Information System (INIS)

    Hong-Bo, Liu; Ling-Juan, Zhao; Jiao-Qing, Pan; Hong-Liang, Zhu; Fan, Zhou; Bao-Jun, Wang; Wei, Wang

    2008-01-01

    We present the monolithic integration of a sampled-grating distributed Bragg reflector (SG-DBR) laser with a quantum-well electroabsorption modulator (QW-EAM) by combining ultra-low-pressure (55mbar) selective-area-growth (SAG) metal-organic chemical vapour deposition (MOCVD) and quantum-well intermixing (QWI) for the first time. The QW-EAM and the gain section can be grown simultaneously by using SAG MOCVD technology. Meanwhile, the QWI technology offers an abrupt band-gap change between two functional sections, which reduces internal absorption loss. The experimental results show that the threshold current Ith = 62 mA, and output power reaches 3.6mW. The wavelength tuning range covers 30nm, and all the corresponding side mode suppression ratios are over 30 dB. The extinction ratios at available wavelength channels can reach more than 14 dB with bias of -5 V

  1. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  2. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    International Nuclear Information System (INIS)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E.

    2006-01-01

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin ( '' GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  4. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  5. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  6. Characterization of long-length, MOCVD-derived REBCO coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Miller, D. J.; Maroni, V. A.; Hiller, J. M.; Koritala, R. E.; Chen, Y.; Reeves Black, J. L.; Selvamanickam, V.; SuperPower, Inc.; Development Dimensions International, Inc.

    2009-06-01

    A leading approach to the fabrication of long-length, high-performance REBa{sub 2}Cu{sub 3}O{sub 7} (REBCO) coated conductor is by metal-organic chemical vapor deposition (MOCVD) of REBCO on buffered templates. Templates are produced by ion beam assisted deposition of textured MgO onto polished metal substrates. The overall performance of MOCVD coated conductors achieved to date is impressive, but further improvement is desired. We have used a coordinated set of characterization techniques to identify the underlying causes for critical current (Ic) performance variations in long-length MOCVD conductors. Using electron microscopy and Raman spectroscopy, we studied tape specimens from specially designed experiments performed in SuperPower's MOCVD manufacturing equipment with its six-track ldquohelixrdquo tape path. We find that in multi-pass depositions used to produce thicker REBCO films, the REBCO phase uniformity and texture quality in the first pass play key roles in pass-to-pass microstructure evolution, with nucleation of second phase particles in the first layer promoting misoriented grains that propagate through subsequent layers. These misoriented grains, many growing in close proximity with second phase particles, present current-blocking obstacles that limit Ic performance. Our results show that achieving more uniform deposition in the very first deposited layer plays a critical role that in turn leads to reduced misoriented grain content and REBCO lattice disorder in the second and subsequent layers of the REBCO film.

  7. Development of high-temperature superconducting coated conductor by MOCVD method

    International Nuclear Information System (INIS)

    Kim, Chan Joong; Jun, Byung Hyuk; Jung, Choung Hwan

    2004-07-01

    To fabricate the second generation superconductor wire, coated conductor, we selected MOCVD (Metal organic chemical vapor deposition) method which is commercially available and whose growth rate is very high. The first buffer layer CeO 2 was successfully deposited on the Ni tape. The thick Y-stabilized ZrO 2 layer was thus inserted between two CeO 2 layers by MOCVD method. The c-axis growth of the first CeO 2 , the inserted YSZ and top CeO 2 layer was achieved by optimized the deposition condition for the three buffers. It was found that the YBCO deposition was fairly dependant on the depostion temperature, time, oxygen partial pressure, amount of the source supplied. Especially the thickness of the YBCO films was linearly dedendant on the deposition temperature and time, but current properties was not linearly dependant on the film thickness. The critical current (Ic) of the YBCO film grown on SrTiO 3 and IBAD template were over 100 A/cm-width and 50 A/cm-width at 77 K and 0 field. To establish the MOCVD process, collaboration work with several organizations was made

  8. Microstructural development in physical vapour-deposited partially stabilized zirconia thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Sohn, Y. H. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Biederman, R.R. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States)); Sisson, R.D. Jr. (Center for Intelligent Processing of Materials, Worcester Polytechnic Institute, 100 Institute Road, Worcester, MA 01609-2280 (United States))

    1994-10-01

    The effects of processing parameters of physical vapour deposition on the microstructure of partially stabilized zirconia (PSZ) thermal barrier coatings have been experimentally investigated. Emphasis has been placed on the crystallographic texture of the PSZ coatings and the microstructure of the top surface of the PSZ coatings as well as the metal-ceramic interface. The variations in the deposition chamber temperature, substrate thickness, substrate rotation and vapour incidence angle resulted in the observation of significant differences in the crystallographic texture and microstructure of the PSZ coatings. ((orig.))

  9. Photocatalysis in the visible range of sub-stoichiometric anatase films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Garcia, G. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: gemma@icmab.es; Battiston, G.A. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Gerbasi, R. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Ager, F. [CNA/CSIC Parque Tecnologico Cartuja 93, Avda Thomas A, Edison, 41092 Sevilla (Spain); Guerra, M. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Caixach, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Pardo, J.A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Rivera, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Figueras, A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Instituto de Fisica, UNAM, Campus UNAM Juriquilla, 76230 Queretaro (Mexico)

    2005-08-25

    Anatase phase of titanium oxide is the most promising photocatalyst material for organic pollutant degradation. However, due to its large band gap energy (3.2 eV) it is not viable to use sunlight as an energy source for the photocatalysis activation, and so, ultraviolet (UV) radiation below the wavelength of 380 nm is required. This paper focuses on the experimental demonstration of the reduction of this large band gap energy by inducing defects in the anatase structure under the form of oxygen sub-stoichiometry. TiO{sub 2} thin films were prepared in a metal organic chemical vapour deposition (MOCVD) reactor. The samples stoichiometry was measured by the Rutherford backscattering spectrometry (RBS) technique. Optical characterisation was also performed and the photodegradation activity in the visible range was tested using nonylphenol, which is one of the most harmful pollutants present in waste waters.

  10. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented.

  11. Technical committee meeting on aerosol formation, vapour deposits and sodium vapour trapping. Summary report

    International Nuclear Information System (INIS)

    1977-01-01

    The papers presented at the LMFBR meeting on aerosol formation covered the following four main topics: theoretical studies on aerosol behaviour and comparison with experimental results; techniques for measurement of aerosols; techniques for trapping sodium vapour and aerosols in gas circuits; design of components having to cope with aerosol deposits. The resulting summaries, conclusions and recommendations which were were agreed upon are presented

  12. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  13. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  14. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  15. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  16. Growth and properties of Al-rich InxAl1-xN ternary alloy grown on GaN template by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Oh, Tae Su; Suh, Eun-Kyung; Kim, Jong Ock; Jeong, Hyun; Lee, Yong Seok; Nagarajan, S; Lim, Kee Young; Hong, Chang-Hee

    2008-01-01

    An Al-rich In x Al 1-x N ternary alloy was grown on a GaN template by metal-organic chemical vapour deposition (MOCVD). The GaN template was fabricated on a c-plane sapphire with a low temperature GaN nucleation layer. The growth of the 300 nm thick In x Al 1-x N layer was carried out under various growth temperatures and pressures. The surface morphology and the InN molar fraction of the In x Al 1-x N layer were assessed by using atomic force microscopy (AFM) and high resolution x-ray diffraction, respectively. The AFM surface images of the In x Al 1-x N ternary alloy exhibited quantum dot-like grains caused by the 3D island growth mode. The grains, however, disappeared rapidly by increasing diffusion length and mobility of the Al adatoms with increasing growth temperature and the full width at half maximum value of ternary peaks in HR-XRD decreased with decreasing growth pressure. The MOCVD growth condition with the increased growth temperature and decreased growth pressure would be effective to grow the In x Al 1-x N ternary alloy with a smooth surface and improved quality. The optical band edge of In x Al 1-x N ternary alloys was estimated by optical absorbance and, based on the results of HR-XRD and optical absorbance measurements, we obtained the bowing parameter of the In x Al 1-x N ternary alloy at b = 5.3 eV, which was slightly larger than that of previous reports

  17. Installation for vacuum vapour deposition of nickel, more particularly for manufacturing neutron guides

    International Nuclear Information System (INIS)

    Samuel, F.

    1986-01-01

    The present invention proposes an installation for vacuum vapour deposition of Ni of the type including in a vacuum chamber a device for heating a mass of at least one Ni isotope to be evaporated, and a surface to be covered with deposited Ni facing the heater, is claimed, in which the heater includes a ribbon of W conformed in a middle part into a container in which is placed a refractory crucible in which is placed the Ni to be evaporated, and adapted to be connected at two terminal zones to an electrical circuit. The crucible is Al203. The invention finds an application in neutron guide fabrication, more particularly for Ni58 vapour deposition on the surfaces of the neutron guide [fr

  18. Characterisation of titanium nitride films obtained by metalorganic chemical vapor deposition (MOCVD); Caracterizacao de filmes de nitreto de titanio obtidos por MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pillis, M.F., E-mail: mfpillis@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (CCTM/IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Ciencia e Tecnologia de Materiais; Franco, A.C. [Universidade de Sao Paulo (IF/USP), SP (Brazil). Inst. de Fisica; Araujo, E.G. de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Sacilotti, M. [Universidade Federal de Pernambuco (IF/UFPE), Recife, PE (Brazil). Inst. de Fisica; Fundacao de Amparo a Ciencia e Tecnologia de Pernambuco (FACEPE), Recife, PE (Brazil)

    2009-07-01

    Ceramic coatings have been widely used as protective coating to improve the life of cutting tools, for corrosion protection and in microelectronics, optical and medical areas. Transition metals nitrides are of special interest due to its high hardness and thermal stability. In this work thin films of titanium nitride were obtained by MOCVD (metalorganic chemical vapor deposition) process. The tests were carried out for 1h at 700 deg C under 80 and 100 mbar of pressure. The characterization was made by using scanning electron microscopy coupled with dispersive energy analysis, and X-ray diffraction. Preliminary results suggested that Ti{sub 2}N phase was formed and that the growth rate varied between 4 and 13 nm/min according to the process parameter considered. (author)

  19. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  20. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  1. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  2. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    Energy Technology Data Exchange (ETDEWEB)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E. [Veeco TurboDisc Operations, 394 Elizabeth Avenue, Somerset, NJ 08873 (United States)

    2006-06-15

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin (<40 Aa) InGaN layers with direct implications to the structural and optical properties of blue (460 nm) and green (520 nm) LEDs. InGaN epilayers less than 40 Aa thick of {proportional_to}20% solid phase indium were produced on thick (3-4 {mu}m) 2{sup ''} GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Development of a new process for deposition of metallic vapours and ions

    International Nuclear Information System (INIS)

    Gabrielli, O. de.

    1989-01-01

    Surface treatment processes by deposition, enabling surface properties to be altered without altering the volume, are making rapid progress in industry. The description of these processes has led us to consider the role and the importance of methods using plasmas. The new plasma source we have developed is the subject of this experimental research: it is the basis of the deposition process (metallic ion and vapour deposition). The specifications and preliminary results enable us to compare this process with others in use. Fast deposition rates and excellent adhesion are the two main characteristics of this process [fr

  4. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  5. Fabrication of InP-pentacene inorganic-organic hybrid heterojunction using MOCVD grown InP for photodetector application

    Science.gov (United States)

    Sarkar, Kalyan Jyoti; Pal, B.; Banerji, P.

    2018-04-01

    We fabricated inorganic-organic hybrid heterojunction between indium phosphide (InP) and pentacene for photodetector application. InP layer was grown on n-Si substrate by atmospheric pressure metal organic chemical vapour deposition (MOCVD) technique. Morphological properties of InP and pentacene thin film were characterized by atomic force microscopy (AFM). Current-voltage characteristics were investigated in dark and under illumination condition at room temperature. During illumination, different wavelengths of visible and infrared light source were employed to perform the electrical measurement. Enhancement of photocurrent was observed with decreasing in wavelength of incident photo radiation. Ideality factor was found to be 1.92. High rectification ratio of 225 was found at ± 3 V in presence of infrared light source. This study provides new insights of inorganic-organic hybrid heterojunction for broadband photoresponse in visible to near infrared (IR) region under low reverse bias condition.

  6. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  7. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  8. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  9. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  10. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  11. Carbon analysis in MOCVD grown HgCdMnTe by charged particle activation

    International Nuclear Information System (INIS)

    Stannard, W.B.; Walker, S.R.; Johnston, P.N.; Bubb, I.F.

    1994-01-01

    Charged Particle Activation Analysis (CPAA) has been used for the determination of the concentration of carbon in HgCdMnTe grown by Metal Organic Chemical Vapour Deposition (MOCVD). The samples were irradiated with a beam of 3.0 MeV 3 He ions. 11 C is produced by the reaction 12 C( 3 He, α) 11 C and is a positron (β + ) emitting radionuclide with a half-life of 20.38 min. At the same time the reaction 16 O( 3 He, p) 18 F produces 18 F which is also a β + emitter and has a half-life of 109.72 min. A post-irradiation etching technique has been developed to enable removal of surface contaminants. The radioactivity is determined by a β + spectrometer consisting of two NaI γ-ray detectors (3x3 in.) oriented at 180 . The two coincident 511 keV γ-rays emitted at 180 during the positron annihilation are detected. The initial 11 C and 18 F activities, and hence the oxygen and carbon contributions, can be separated by analysis of the count rate versus time. Analysis shows significant carbon levels in the HgCdMnTe samples. ((orig.))

  12. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  13. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  14. YBa2Cu3O7-δ thin films deposited by MOCVD vertical reactor with a flow guide

    International Nuclear Information System (INIS)

    Sujiono, E.H.; Negeri Makassar; Sani, R.A.; Saragi, T.; Arifin, P.; Barmawi, M.

    2001-01-01

    The effect of a flow guide in a vertical MOCVD reactor on the deposition uniformity and growth rate of thin YBCO films has been studied. Without the flow guide the growth rates are low, have a poor uniformity and the film composition is not stoichiometric. The growth rate of the films grown using a reactor with the flow guide was approximately twice that without the flow guide. Using this flow guide the growth rates were 0.4-0.7 μm/h for growth temperatures varying between 600 and 750 C, and the crystalline quality as well as the surface morphology of YBCO films on MgO substrates is improved. For films grown at temperatures above 650 C the composition of Y:Ba:Cu is 1:2:3, as confirmed by EDAX spectra. Films deposited without and with the flow guide at 700 C have critical temperatures around 85 and 88 K, respectively. The reduction in ΔT c (T c,zero -T c,onset ) also shows an improvement of the superconducting properties of YBCO thin films deposited with a flow guide. (orig.)

  15. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  16. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  17. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  18. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  19. Transparent conductive Ga-doped ZnO films fabricated by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Behrends, Arne; Wagner, Alexander; Al-Suleiman, Mohamed Aid Mansur; Waag, Andreas; Bakin, Andrey [Institute of Semiconductor Technology, University of Technology Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Lugauer, Hans-Juergen; Strassburg, Martin; Walter, Robert; Weimar, Andreas [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2012-04-15

    Transparent conductive oxides (TCOs) are used for a variety of different applications, e.g., in solar cells and light emitting diodes (LEDs). Mostly, sputtering is used, which often results in a degradation of the underlying semiconductor material. In this work we report on a ''soft'' method for the fabrication of ZnO films as TCO layers by using metal organic chemical vapor deposition (MOCVD) at particularly low temperatures. The MOCVD approach has been studied focusing on the TCO key issues: fabrication temperature, morphology, optical, and electrical properties. Very smooth ZnO films with rms values down to 0.8 nm were fabricated at a substrate temperature of only 300 C. Ga-doping is well controllable even for high carrier concentrations up to 2 x 10{sup 20} cm{sup -3}, which is above the Mott-density leading to metallic-like behavior of the films. Furthermore all films show excellent optical transparency in the visible spectral range. As a consequence, our MOCVD approach is well suited for the soft fabrication of ZnO-based TCO layers. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Optimization of Strontium Titanate (SrTiO3) Thin Films Fabricated by Metal Organic Chemical Vapor Deposition (MOCVD) for Microwave-Tunable Devices

    Science.gov (United States)

    2015-12-01

    characteristics . Our work demonstrated a significant increase in the quality of the optimized STO thin films with respect to STO films grown prior to the MOCVD...deposition, the reactor and precursor supply lines were baked at 250 °C for at least 4 h with a total Ar carrier gas flow of 5,000 sccm to remove...S. Thermal leakage characteristics of Pt/SrTiO3/Pt structures. Journal of Vacuum Science & Technology A. 2008;26:555–557. 31. Ryen L, Olsson E

  1. Final report on LDRD project : outstanding challenges for AlGaInN MOCVD.

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Christine Charlotte; Follstaedt, David Martin; Russell, Michael J.; Cross, Karen Charlene; Wang, George T.; Creighton, James Randall; Allerman, Andrew Alan; Koleske, Daniel David; Lee, Stephen Roger; Coltrin, Michael Elliott

    2005-03-01

    The AlGaInN material system is used for virtually all advanced solid state lighting and short wavelength optoelectronic devices. Although metal-organic chemical vapor deposition (MOCVD) has proven to be the workhorse deposition technique, several outstanding scientific and technical challenges remain, which hinder progress and keep RD&A costs high. The three most significant MOCVD challenges are: (1) Accurate temperature measurement; (2) Reliable and reproducible p-doping (Mg); and (3) Low dislocation density GaN material. To address challenge (1) we designed and tested (on reactor mockup) a multiwafer, dual wavelength, emissivity-correcting pyrometer (ECP) for AlGaInN MOCVD. This system simultaneously measures the reflectance (at 405 and 550 nm) and emissivity-corrected temperature for each individual wafer, with the platen signal entirely rejected. To address challenge (2) we measured the MgCp{sub 2} + NH{sub 3} adduct condensation phase diagram from 65-115 C, at typical MOCVD concentrations. Results indicate that it requires temperatures of 80-100 C in order to prevent MgCp{sub 2} + NH{sub 3} adduct condensation. Modification and testing of our research reactor will not be complete until FY2005. A new commercial Veeco reactor was installed in early FY2004, and after qualification growth experiments were conducted to improve the GaN quality using a delayed recovery technique, which addresses challenge (3). Using a delayed recovery technique, the dislocation densities determined from x-ray diffraction were reduced from 2 x 10{sup 9} cm{sup -2} to 4 x 10{sup 8} cm{sup -2}. We have also developed a model to simulate reflectance waveforms for GaN growth on sapphire.

  2. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  3. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  4. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  5. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  6. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  7. Suppression of Mg propagation into subsequent layers grown by MOCVD

    Science.gov (United States)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  8. YBa2Cu3O7 films prepared by aerosol MOCVD

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    In the present study we report on properties of YBa 2 Cu 3 O 7 films prepared by aerosol MOCVD. We give a short description of the process and we focus on the superconducting and related properties of the films deposited on SrTiO 3 , LaAlO 3 and NdGaO 3 single crystalline substrates. (orig.)

  9. Chemical vapour deposition of silicon under reduced pressure in a hot-wall reactor: Equilibrium and kinetics

    International Nuclear Information System (INIS)

    Langlais, F.; Hottier, F.; Cadoret, R.

    1982-01-01

    Silicon chemical vapour deposition (SiH 2 Cl 2 /H 2 system), under reduced pressure conditions, in a hot-wall reactor, is presented. The vapour phase composition is assessed by evaluating two distinct equilibria. The homogeneous equilibrium , which assumes that the vapour phase is not in equilibrium with solid silicon, is thought to give an adequate description of the vapour phase in the case of low pressure, high gas velocities, good temperature homogeneity conditions. A comparison with heterogeneous equilibrium enables us to calculate the supersaturation so evidencing a highly irreversible growth system. The experimental determination of the growth rates reveals two distinct temperature ranges: below 1000 0 C, polycrystalline films are usually obtained with a thermally activated growth rate (+40 kcal mole -1 ) and a reaction order, with respect to the predominant species SiCl 2 , close to one; above 1000 0 C, the films are always monocrystalline and their growth rate exhibits a much lower or even negative activation energy, the reaction order in SiCl 2 remaining about one. (orig.)

  10. Bulletin of Materials Science

    Indian Academy of Sciences (India)

    -organic chemical vapour deposited (MOCVD) gamma iron oxide thin film for ... V2)5-Te)2 glasses using heterogeneous conductor model -- M Pal, S K Saha and ... The hardness-flow stress correlation in metallic materials -- G Soundararajan ...

  11. MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application

    Directory of Open Access Journals (Sweden)

    Amornrat Limmanee

    2014-01-01

    Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.

  12. New principle of feeding for flash evaporation MOCVD devices

    International Nuclear Information System (INIS)

    Kaul, A.R.; Seleznev, B.V.

    1993-01-01

    A novel scheme of flash evaporation feeding for MOCVD processes of multi-component oxide films deposition is proposed. The scheme comprises 1) microdozage of organic solution of solid volatile precursors on the glass fiber belt, 2) evaporation of the solvent and 3) flash evaporation of MOC microdoses from the belt. The functioning of the designed feeder is described and the features of proposed scheme in comparison to existing feeding principles are discussed. (orig.)

  13. Probing the Gas-Phase Dynamics of Graphene Chemical Vapour Deposition using in-situ UV Absorption Spectroscopy

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay; Mackenzie, David; Luo, Birong

    2017-01-01

    The processes governing multilayer nucleation in the chemical vapour deposition (CVD) of graphene are important for obtaining high-quality monolayer sheets, but remain poorly understood. Here we show that higher-order carbon species in the gas-phase play a major role in multilayer nucleation...

  14. Efficient Pd@MIL-101(Cr) hetero-catalysts for 2-butyne-1,4-diol hydrogenation exhibiting high selectivity

    KAUST Repository

    Yin, Dongdong; Li, Chuang; Ren, Hangxing; Shekhah, Osama; Liu, Jinxuan; Liang, Changhai

    2017-01-01

    Pd@MIL-101(Cr) hetero-catalysts have been successfully prepared using the metal-organic chemical vapour deposition (MOCVD) approach, by choosing [Pd(η-CH)(η-CH)] as a volatile precursor, and the hydrothermally stable metal-organic framework, MIL-101

  15. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  16. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  17. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  18. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  19. Effects of the ion-solid interaction in glow discharge vapour deposition polymerization of pyromellitic dianhydride

    International Nuclear Information System (INIS)

    Maggioni, G.; Carturan, S.; Rigato, V.; Pieri, U.

    2000-01-01

    Low energy He ion bombardment of pyromellitic dianhydride monomer used in glow discharge vapour deposition polymerization (GDVDP) of polyimide coatings and its effects on the film deposition process have been studied. The sublimation of the monomer molecules and the simultaneous formation of a damaged, carbon-rich surface layer on the target are discussed from a theoretical point of view based on simulations of the ion-solid interaction. Optical emission and mass spectrometry have been used to analyse the species emitted from the target. In order to study the time evolution of the PMDA target damage, the deposition rate of monomer molecules has been monitored. FT-IR spectroscopy has been used to determine the molecular damaging of the target monomer and deposited films

  20. Friction and wear behavior of nitrogen-doped ZnO thin films deposited via MOCVD under dry contact

    Directory of Open Access Journals (Sweden)

    U.S. Mbamara

    2016-06-01

    Full Text Available Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS and X-ray Diffraction (XRD. The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-face surfaces were examined to assess the wear dimension and failure mechanism. Both friction behavior and wear (in the ball counter-face were observed to be dependent on the crystallinity and thickness of the thin film coatings.

  1. Zinc oxide nanostructures by chemical vapour deposition as anodes for Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Laurenti, M., E-mail: marco.laurenti@iit.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Garino, N. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Porro, S.; Fontana, M. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Gerbaldi, C., E-mail: claudio.gerbaldi@polito.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy)

    2015-08-15

    Highlights: • ZnO nanostructures are grown by simple chemical vapour deposition. • Polycrystalline nanostructured porous thin film is obtained. • Film exhibits stable specific capacity (∼400 mA h g{sup −1}) after prolonged cycling. • CVD-grown ZnO nanostructures show promising prospects as Li-ion battery anode. - Abstract: ZnO nanostructures are grown by a simple chemical vapour deposition method directly on a stainless steel disc current collector and successfully tested in lithium cells. The structural/morphological characterization points out the presence of well-defined polycrystalline nanostructures having different shapes and a preferential orientation along the c-axis direction. In addition, the high active surface of the ZnO nanostructures, which accounts for a large electrode/electrolyte contact area, and the complete wetting with the electrolyte solution are considered to be responsible for the good electrical transport properties and the adequate electrochemical behaviour, as confirmed by cyclic voltammetry and galvanostatic charge/discharge cycling. Indeed, despite no binder or conducting additives are used, when galvanostatically tested in lithium cells, after an initial decay, the ZnO nanostructures can provide a rather stable specific capacity approaching 70 μA h cm{sup −2} (i.e., around 400 mA h g{sup −1}) after prolonged cycling at 1 C, with very high Coulombic efficiency and an overall capacity retention exceeding 62%.

  2. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  3. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  4. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  5. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  6. Study of TiO{sub 2} nanomembranes obtained by an induction heated MOCVD reactor

    Energy Technology Data Exchange (ETDEWEB)

    Crisbasan, A., E-mail: andreea.crisbasan@yahoo.com [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Chaumont, D. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Sacilotti, M. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Departamento de Fisica – Universidade Federal de Pernambuco, Recife (Brazil); Crisan, A.; Lazar, A.M.; Ciobanu, I. [Science and Materials Engineering Faculty, University of Transilvania, Brasov (Romania); Lacroute, Y.; Chassagnon, R. [Université de Bourgogne, BP 47 870, 21078 Dijon (France)

    2015-12-15

    Highlights: • The TiO{sub 2} structures have been obtained by the MOCVD technique using ferrocene, cobalt layer (annealed at 350 °C) and Ti(OC{sub 3}H{sub 7}){sub 4}. • The TiO{sub 2} growth at 550 °C, during 20 min on the cobalt layer (obtained by electron beam evaporation method) on soda-lime glass has as result TiO{sub 2} nanomembranes. • The TiO{sub 2} nanomembranes grow on the cobalt nuclei. • The TiO{sub 2} nanomembranes are polycrystalline, built from TiO{sub 2} anatase and rutile crystals. - Abstract: Nanostructures of TiO{sub 2} were grown using the metal oxide chemical vapor deposition (MOCVD) technique. The procedure used induction heating on a graphite susceptor. This specific feature and the use of cobalt and ferrocene catalysts resulted in nanomembranes never obtained by common MOCVD reactors. The present study discusses the preparation of TiO{sub 2} nanomembranes and the dependence of nanomembrane structure and morphology on growth parameters.

  7. The exchange reaction between deuterium and water vapour on platinum deposited over a hydrophobic support

    International Nuclear Information System (INIS)

    Itsuo, Iida; Junko, Kato; Kenzi, Tamuru

    1977-01-01

    Isotope exchange reaction between deuterium gas and water vapour at room temperature and below on platinum deposited on hydrophobic supports such as polytetrafluoroethylene (PTFE) or Porapak Q (copolymer of styrene and divinylbenzene) was studied and the results were compared with those of the exchange reaction on platinum over hydrophilic support such as alumina. It was demonstrated that the exchange reaction at temperatures below the boiling point of water is markedly retarded by the multilayer adsorption of water over the platinum catalyst deposited on hydrophilic support, whereas the platinum catalyst on hydrophobic support exhibited a high catalytic activity, being not retarded by the water, forming no multilayer of adsorbed water over platinum surface. Therefore in the case of the hydrogen isotope exchange reaction on platinum over hydrophobic support, the chemical exchange rate can be measured even under a saturated vapour pressure of water. The surface area of platinum was estimated by hydrogen chemisorption and hydrogen titration and specific activities of the catalyst were calculated at both room temperature and freezing point of water, which revealed that the specific rate of this reaction does not differ so much over various supports. (orig.) [de

  8. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  9. Composition and microstructure of beryllium carbide films prepared by thermal MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    He, Yu-dan; Luo, Jiang-shan; Li, Jia; Meng, Ling-biao; Luo, Bing-chi; Zhang, Ji-qiang; Zeng, Yong; Wu, Wei-dong, E-mail: wuweidongding@163.com

    2016-02-15

    Highlights: • Non-columnar-crystal Be{sub 2}C films were firstly prepared by thermal MOCVD. • Beryllium carbide was always the dominant phase in the films. • α-Be and carbon existed in films deposited below and beyond 400 °C, respectively. • Morphology evolved with temperatures and no columnar grains were characterized. • The preferred substrate temperature for depositing high quality Be{sub 2}C films was 400 °C. - Abstract: Beryllium carbide films without columnar-crystal microstructures were prepared on the Si (1 0 0) substrate by thermal metal organic chemical vapor deposition using diethylberyllium as precursor. The influence of the substrate temperature on composition and microstructure of beryllium carbide films was systematically studied. Crystalline beryllium carbide is always the dominant phase according to XRD analysis. Meanwhile, a small amount of α-Be phase exists in films when the substrate temperature is below 400 °C, and hydrocarbon or amorphous carbon exists when the temperature is beyond 400 °C. Surfaces morphology shows transition from domes to cylinders, to humps, and to tetraquetrous crystalline needles with the increase of substrate temperature. No columnar grains are characterized throughout the thickness as revealed from the cross-section views. The average densities of these films are determined to be 2.04–2.17 g/cm{sup 3}. The findings indicate the substrate temperature has great influences on the composition and microstructure of the Be{sub 2}C films grown by thermal MOCVD.

  10. Nucleation and growth of copper oxide films in MOCVD processes using the β-ketoiminate precursor 4,4'-(1,2-ethanediyldinitrilo)bis(2-pentanonate) copper(II)

    International Nuclear Information System (INIS)

    Condorelli, G.G.; Malandrino, G.; Fragala, I.L.

    1999-01-01

    The MOCVD of CuO has attracted much attention because of its application in high-T c superconducting films and gas sensors. This work focuses on the potential of a β-ketoiminate copper complex as an alternative MOCVD source to β-diketonate complexes. Particular attention has been given to factors such as texturing, roughness, and grain size of the deposit. (orig.)

  11. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  12. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    Science.gov (United States)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.

    2017-07-01

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

  13. Growth and characterization of InP/GaAs on SOI by MOCVD

    International Nuclear Information System (INIS)

    Karam, N.H.; Haven, V.; Vernon, S.M.; Namavar, F.; El-Masry, N.; Haegel, N.; Al-Jassin, M.M.

    1990-01-01

    This paper reports that epitaxial InP films have been successfully deposited on GaAs coated silicon wafers with a buried oxide for the first time by MOCVD. The SOI wafers were prepared using the Separation by Implantation of Oxygen (SIMOX) process. The quality of InP on SIMOX is comparable to the best of InP on Si deposited in the same reactor. Preliminary results on defect reduction techniques such as Thermal Cycle Growth (TCG) show an order of magnitude increase in the photoluminescence intensity and a factor of five reduction in the defect density. TCG has been found more effective than Thermal Cycle Annealing (TCA) in improving the crystalline perfection and optical properties of the deposited films

  14. Long distance spin communication in chemical vapour deposited graphene

    Science.gov (United States)

    Kamalakar, M. Venkata; Groenveld, Christiaan; Dankert, André; Dash, Saroj P.

    2015-04-01

    Graphene is an ideal medium for long-distance spin communication in future spintronic technologies. So far, the prospect is limited by the smaller sizes of exfoliated graphene flakes and lower spin transport properties of large-area chemical vapour-deposited (CVD) graphene. Here we demonstrate a high spintronic performance in CVD graphene on SiO2/Si substrate at room temperature. We show pure spin transport and precession over long channel lengths extending up to 16 μm with a spin lifetime of 1.2 ns and a spin diffusion length ~6 μm at room temperature. These spin parameters are up to six times higher than previous reports and highest at room temperature for any form of pristine graphene on industrial standard SiO2/Si substrates. Our detailed investigation reinforces the observed performance in CVD graphene over wafer scale and opens up new prospects for the development of lateral spin-based memory and logic applications.

  15. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  16. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  17. Vapour trap development and operational experience

    International Nuclear Information System (INIS)

    Jansing, W.; Kirchner, G.; Menck, J.

    1977-01-01

    Sodium aerosols have the unpleasant characteristic that they deposit at places with low temperature level. This effect can be utilized when sodium aerosols are to be trapped at places which are determined beforehand. Thus vapour traps were developed which can filter sodium vapour from the cover gas. By this means the necessity was eliminated to heat all gas lines and gas systems with trace heaters just as all sodium lines are heated. It was of special interest for the INTERATOM to develop vapour traps which must not be changed or cleaned after a certain limited operating period. The vapour traps were supposed to enable maintenance free operation, i.e. they were to operate 'self cleaning'

  18. Precursors for use in vapour and solution phase thermolysis routes to II-VI thin films and nanodispersed oxide materials

    International Nuclear Information System (INIS)

    Chunggaze, M.

    1999-12-01

    Monothiocarbamates M(OSCNEt 2 ) 2 M = Cd (1) Zn (2) analogous to the dithiocarbamates (Et 2 NCS 2 ) 2 M which have been extensively studied for metal-organic chemical vapour deposition (MOCVD), have been prepared as alternative single-source precursors for depositing II-VI semiconducting materials. Structural analysis of (1) revealed a new, O-binucleating, bonding mode for the monothiocarbamato ligand resulting in polymeric chains which are co-aligned to give a distorted close-packed hexagonal array. The mixed alkyl zinc derivative [Et 4 Zn 4 (OSCNEt 2 ) 2 (NEt 2 ) 2 ] is formed as the only isolable product from the reaction of EtZnNEt 2 with carbonyl sulfide and also exhibits a second new bonding mode for the monothiocarbamato ligand in which both the oxygen and sulfur atoms are binucleating. Uniform adherent films of CdS films with various morphologies were grown on GaAs(100) and glass at substrate temperatures between 350-450 deg C. No oxygen incorporation within the films was observed. Mechanistic studies into the decompositional behaviour of the monothiocarbamate precursors in comparison to the dithiocarbamate precursors were investigated by using pyrolysis GC-MS and EI-MS; with GC-MS conditions comparable to those usually used in MOCVD reactors. GC-MS analysis showed that the major decomposition product during the deposition of CdS is Et 2 NC(O)SC(O)NEt 2 . Similar mechanistic studies into the deposition of MSe by MOCVD from the diselenocarbamates M(Se 2 CNEt 2 ) 2 M = Zn (3), Cd (4); M(Se 2 CNMe n Hex) 2 M = Zn (5), Cd (6); and EtZnSe 2 CNEt 2 (7) were carried out in an effort to determine why the symmetric selenocarbamates (3) and (4) deposit films heavily contaminated with selenium in comparison to the asymmetric analogues (5) and (6). The EI-MS of all five selenium compounds revealed similar decomposition pathways, which start with the loss of an alkyl group. However, studies of compounds (1)-(5) by pyrolysis GC-MS show that selenium clusters Se n n = 1

  19. Chemical vapour deposition of freestanding sub-60 nm graphene gyroids

    Science.gov (United States)

    Cebo, Tomasz; Aria, Adrianus I.; Dolan, James A.; Weatherup, Robert S.; Nakanishi, Kenichi; Kidambi, Piran R.; Divitini, Giorgio; Ducati, Caterina; Steiner, Ullrich; Hofmann, Stephan

    2017-12-01

    The direct chemical vapour deposition of freestanding graphene gyroids with controlled sub-60 nm unit cell sizes is demonstrated. Three-dimensional (3D) nickel templates were fabricated through electrodeposition into a selectively voided triblock terpolymer. The high temperature instability of sub-micron unit cell structures was effectively addressed through the early introduction of the carbon precursor, which stabilizes the metallized gyroidal templates. The as-grown graphene gyroids are self-supporting and can be transferred onto a variety of substrates. Furthermore, they represent the smallest free standing periodic graphene 3D structures yet produced with a pore size of tens of nm, as analysed by electron microscopy and optical spectroscopy. We discuss generality of our methodology for the synthesis of other types of nanoscale, 3D graphene assemblies, and the transferability of this approach to other 2D materials.

  20. Microwave plasma-enhanced chemical vapour deposition growth of carbon nanostructures

    Directory of Open Access Journals (Sweden)

    Shivan R. Singh

    2010-05-01

    Full Text Available The effect of various input parameters on the production of carbon nanostructures using a simple microwave plasma-enhanced chemical vapour deposition technique has been investigated. The technique utilises a conventional microwave oven as the microwave energy source. The developed apparatus is inexpensive and easy to install and is suitable for use as a carbon nanostructure source for potential laboratory-based research of the bulk properties of carbon nanostructures. A result of this investigation is the reproducibility of specific nanostructures with the variation of input parameters, such as carbon-containing precursor and support gas flow rate. It was shown that the yield and quality of the carbon products is directly controlled by input parameters. Transmission electron microscopy and scanning electron microscopy were used to analyse the carbon products; these were found to be amorphous, nanotubes and onion-like nanostructures.

  1. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  2. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  3. Effect of deposition conditions on the growth rate and electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Roro, K.T.; Botha, J.R.; Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)

    2008-07-01

    ZnO thin films have been grown on glass substrates by MOCVD. The effect of deposition conditions such as VI/II molar ratio, DEZn flow rate and total reactor pressure on the growth rate and electrical properties of the films was studied. It is found that the growth rate decreases with an increase in the VI/II molar ratio. This behaviour is ascribed to the competitive adsorption of reactant species on the growth surface. The growth rate increases with an increase in DEZn flow rate, as expected. It is shown that the carrier concentration is independent of the DEZn flow rate. An increase in the total reactor pressure yields a decrease in growth rate. This phenomenon is attributed to the depletion of the gas phase due to parasitic prereactions between zinc and oxygen species at high pressure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. MOCVD of zirconium oxide from the zirconium guanidinate complex |ZrCp′{2-(iPrN)2CNMe2}2Cl

    NARCIS (Netherlands)

    Blackman, C.S.; Carmalt, C.J.; Moniz, S.J.A.; Potts, S.E.; Davies, H.O.; Pugh, D.C.

    2009-01-01

    Parallel to successful studies into use of [ZrCp'{¿ 2-(iPrN)2CNMe2} 2Cl] as a precursor to the deposition of zirconium carbonitride via CVD the same precursor was utilised for the MOCVD of thin films of ZrO 2 using borosilicate glass substrates. The deposited films were of mixed phase; films

  5. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  6. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  7. The practical use and application of Monte-Carlo studies in physical vapour deposition technology

    International Nuclear Information System (INIS)

    Elsing, R.

    1991-01-01

    Most of the theory of physical vapour deposition processes has been well researched. There has, however, been little attempt to exploit the theoretical results of this research for practical development of these technologies. This paper develops a computer model for the magnetron sputter ion plating process, in which known physical laws are combined with geometry models of the kind used in computer-aided design technology. The model enables deposition rates and incidence angle distributions to be described for any desired substrate geometry and configuration, taking into account the dominant process parameters. Deposition rates and incidence angle distributions are computed for various film materials and process parameters and are compared with measured values, indicating excellent agreement. A final example shows the dependence of film thickness distribution at the bottom of a slot on a notched component on the geometrical nature of the workpiece itself and on its positioning in the process space. (orig.)

  8. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  9. Preparation of molybdenum oxide thin films by MOCVD

    International Nuclear Information System (INIS)

    Guerrero, R. Martinez; Garcia, J.R. Vargas; Santes, V.; Gomez, E.

    2007-01-01

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 o C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 o C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of α-MoO 3 phase at deposition temperatures ranging from 400 to 560 o C (673-833 K). Crystalline α-MoO 3 films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 o C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance

  10. Preparation of molybdenum oxide thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero, R. Martinez [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico); Garcia, J.R. Vargas [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico)]. E-mail: rvargasga@ipn.mx; Santes, V. [CIIEMAD-IPN, Miguel Othon de Mendizabal 485, Mexico 07700, D.F. (Mexico); Gomez, E. [Instituto de Quimica-UNAM, Circuito Exterior-Ciudad Universitaria, Mexico 04510, D.F. (Mexico)

    2007-05-31

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 {sup o}C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 {sup o}C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of {alpha}-MoO{sub 3} phase at deposition temperatures ranging from 400 to 560 {sup o}C (673-833 K). Crystalline {alpha}-MoO{sub 3} films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 {sup o}C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance.

  11. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  12. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  13. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  14. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  15. Modelling water vapour permeability through atomic layer deposition coated photovoltaic barrier defects

    Energy Technology Data Exchange (ETDEWEB)

    Elrawemi, Mohamed, E-mail: Mohamed.elrawemi@hud.ac.uk [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Blunt, Liam; Fleming, Leigh [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom); Bird, David, E-mail: David.Bird@uk-cpi.com [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Robbins, David [Centre for Process Innovation Limited, Sedgefield, County Durham (United Kingdom); Sweeney, Francis [EPSRC Centre for Innovative Manufacturing in Advanced Metrology, School of Computing and Engineering, University of Huddersfield, Huddersfield (United Kingdom)

    2014-11-03

    Transparent barrier films such as Al{sub 2}O{sub 3} used for prevention of oxygen and/or water vapour permeation are the subject of increasing research interest when used for the encapsulation of flexible photovoltaic modules. However, the existence of micro-scale defects in the barrier surface topography has been shown to have the potential to facilitate water vapour ingress, thereby reducing cell efficiency and causing internal electrical shorts. Previous work has shown that small defects (≤ 3 μm lateral dimension) were less significant in determining water vapour ingress. In contrast, larger defects (≥ 3 μm lateral dimension) seem to be more detrimental to the barrier functionality. Experimental results based on surface topography segmentation analysis and a model presented in this paper will be used to test the hypothesis that the major contributing defects to water vapour transmission rate are small numbers of large defects. The model highlighted in this study has the potential to be used for gaining a better understanding of photovoltaic module efficiency and performance. - Highlights: • A model of water vapour permeation through barrier defects is presented. • The effect of the defects on the water vapour permeability is investigated. • Defect density correlates with water vapour permeability. • Large defects may dominate the permeation properties of the barrier film.

  16. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  17. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  18. MOCVD waste gas treatment

    International Nuclear Information System (INIS)

    Geelen, A. van; Bink, P.H.M.; Giling, L.J.

    1993-01-01

    A large scale production of GaAs based solar cells with MOCVD will give rise to a considerable use of arsine. Therefore a gas treatment system is needed to convert the waste gases into less toxic compounds. In this study seven different gas treatment systems for MOCVD are compared by quantifying the environmental aspects. The systems are divided in wet systems, adsorption systems and thermal systems. The smallest amount of waste is produced by adsorption and thermal systems. Adsorption systems use the smallest amount of energy. The amount of primary materials used for the equipment varies per system. All systems are safe, but adsorption systems are simplest. At the moment, adsorption systems are probably the best choice from an environmental point of view. Nevertheless thermal systems have some potential advantages which make them interesting for the future

  19. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  20. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  1. Crystalline gamma-Al2O3 physical vapour deposition-coating for steel thixoforging tools.

    Science.gov (United States)

    Bobzin, K; Hirt, G; Bagcivan, N; Khizhnyakova, L; Ewering, M

    2011-10-01

    The process of thixoforming, which has been part of many researches during the last decades, combines the advantages of forging and casting for the shaping of metallic components. But due to the high temperatures of semi-solid steel alloys high demands on the tools are requested. To resists the thermal and mechanical loads (wear, friction, thermal and thermomechanical fatigue) protecting thin films are necessary. In this regard crystalline gamma-Al2O3 deposited via Physical Vapour Deposition (PVD) is a promising candidate: It exhibits high thermal stability, high oxidation resistance and high hot hardness. In the present work the application of a (Ti, Al)N/gamma-Al2O3 coating deposited by means of Magnetron Sputter Ion Plating in an industrial coating unit is presented. The coating was analysed by means of Rockwell test, nanoindentation, and Scanning Electron Microscopy (SEM). The coated tool was tested in thixoforging experiments with steel grade X210CrW12 (AlSI D6). The surface of the coated dies was examined with Scanning Electron Microscope (SEM) after 22, 42, 90 and 170 forging cycles.

  2. Development and construction of a novel MOCVD facility for the growth of ferroelectric thin layers

    International Nuclear Information System (INIS)

    Schaefer, P.R.

    2002-02-01

    This thesis deals with the production of ferroelectric thin films using the MOCVD technology. The main focus is put on the design and construction of a complete MOCVD research system that is equipped with a novel non-contact vaporizer system. The precursors are nebulized in an ultrasonic atomizer and injected into a hot gas stream, so they can vaporize without getting into contact with a hot surface. Hence, one of the biggest disadvantages of conventional vaporizer concepts, the contamination of the vaporizing element with decomposing chemicals, could be avoided completely, resulting in a nearly maintenance-free system. In a direct comparison with the well-established Direct Liquid Injection Subsystem DLI-25C from MKS Instruments, the advantages of non-contact evaporation were clearly demonstrated. Additionally, the scope of this work included the development of standard deposition processes for the ternary oxides SrTiO 3 , BaTiO 3 und PbTiO 3 and growth studies were performed. Electrical measurements performed on MIM structures with Pt electrodes and SrTiO 3 as dielectric indicate a high film quality comparable with results presented in the literature. Furthermore, for the first time the solid solution (Pb x Ba 1-x )TiO 3 has been deposited by MOCVD. This material system is widely unknown in thin film form and it is well suited as a model system to investigate the influence of mechanical stresses on the film properties, because it represents a transition between the (as a thin film) superparaelectric barium titanate and the ferroelectric lead titanate. Through variation of the lead/barium ratio the tetragonal distortion of the lattice cell could be adjusted in a wide range. (orig.)

  3. Surface Science in an MOCVD Environment: Arsenic on Vicinal Ge(100)

    International Nuclear Information System (INIS)

    Olson, J.M.; McMahon, W.E.

    1998-01-01

    Scanning tunneling microscope (STM) images of arsine-exposed vicinal Ge(100) surfaces show that most As/Ge steps are reconstructed, and that a variety of different step structures exist. The entire family of reconstructed As/Ge steps can be divided into two types, which we have chosen to call ''single-row'' steps and ''double-row'' steps. In this paper we propose a model for a double-row step created by annealing a vicinal Ge(100) substrate under an arsine flux in a metal-organic chemical vapor deposition (MOCVD) chamber

  4. Photodegradative properties of TiO{sub 2} films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I.; Ayllon, J.A.; Figueras, A. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Battiston, G.A.; Gerbasi, R. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati del CNR, Padova (Italy)

    2001-08-01

    TiO{sub 2} is a well-known photocatalyst for the air-oxydation of organic compounds. This paper deals with the preparation of TiO{sub 2} layers by MOCVD. The photodegradation rate has been studied in the presence of aqueous suspensions (methylene blue) as a function of the film thickness, roughness and crystallite preferred orientation. These results are compared with aqueous suspensions of Degussa P-25 powders. Deposits obtained on fused quartz showed a higher photodegradation rate than those prepared on glass, while Degussa powders exhibited an intermediate value. (orig.)

  5. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  6. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    International Nuclear Information System (INIS)

    Li Shuai; He Di; Liu Xiaopeng; Wang Shumao; Jiang Lijun

    2012-01-01

    Highlights: ► Deuterium permeation behavior of alumina coating by MOCVD is investigated. ► The as-prepared alumina is amorphous. ► The alumina coating is dense and well adherent to substrate. ► Deuterium permeation rate of alumina coating is 2–3 orders of magnitude lower than martensitic steels. - Abstract: The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51–60 times less than that of the 316L stainless steel and 153–335 times less than that of the referred low activation martensitic steels at 860–960 K.

  7. Nanoparticulate cerium dioxide and cerium dioxide-titanium dioxide composite thin films on glass by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Qureshi, Uzma; Dunnill, Charles W.; Parkin, Ivan P.

    2009-01-01

    Two series of composite thin films were deposited on glass by aerosol assisted chemical vapour deposition (AACVD)-nanoparticulate cerium dioxide and nanoparticulate cerium dioxide embedded in a titanium dioxide matrix. The films were analysed by a range of techniques including UV-visible absorption spectroscopy, X-ray diffraction, scanning electron microscopy and energy dispersive analysis by X-rays. The AACVD prepared films showed the functional properties of photocatalysis and super-hydrophilicity. The CeO 2 nanoparticle thin films displaying photocatalysis and photo-induced hydrophilicity almost comparable to that of anatase titania.

  8. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  9. Atmospheric pressure chemical vapour deposition of vanadium arsenide thin films via the reaction of VCl4 or VOCl3 with tBuAsH2

    International Nuclear Information System (INIS)

    Thomas, Tegan; Blackman, Christopher S.; Parkin, Ivan P.; Carmalt, Claire J.

    2013-01-01

    Thin films of vanadium arsenide were deposited via the dual-source atmospheric pressure chemical vapour deposition reactions of VCl 4 or VOCl 3 with t BuAsH 2 . Using the vanadium precursor VCl 4 , films were deposited at substrate temperatures of 550–600 °C, which were black-gold in appearance and were found to be metal-rich with high levels of chlorine incorporation. The use of VOCl 3 as the vanadium source resulted in films being deposited between 450 and 600 °C and, unlike when using VCl 4 , were silver in appearance. The films deposited using VOCl 3 demonstrated vanadium to arsenic ratios close to 1:1, and negligible chlorine incorporation. Films deposited using either vanadium precursor were identified as VAs using powder X-ray diffraction and possessed borderline metallic/semiconductor resistivities. - Highlights: • Formation of VAs films via atmospheric pressure chemical vapour deposition. • Films formed using VCl 4 or VOCl 3 and t BuAsH 2 . • Powder X-ray diffraction showed that crystalline VAs films were deposited. • Films from VOCl 3 had a V:As ratio close to 1 with negligible Cl incorporation. • Films were silver and possessed borderline metallic/semiconductor resistivities

  10. Preparation and microstructural properties of erbium doped alumina–yttria oxide thin films deposited by aerosol MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Salhi, Rached, E-mail: salhi_rached@yahoo.fr [Laboratoire de Science et Ingénierie des MAtériaux et Procédés 1130 rue de la PiscineBP 75-F-38402 Saint Martin D’Hères Cedex 1 (France); Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Jimenez, Carmen; Deschanvres, Jean-Luc [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Guyot, Yannick [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Chaix-Pluchery, Odette; Rapenne, Laetitia [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Maâlej, Ramzi [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Fourati, Mohieddine [Laboratoire de Chimie Industrielle, Ecole Nationale d’Ingénieur de Sfax, University of Sfax BP W 3038 Sfax (Tunisia); Laboratoire de Physique Appliquée, Groupe de Physique Théorique, Département de Physique, Faculté des Sciences de Sfax, University of Sfax 3018 Sfax (Tunisia)

    2013-10-15

    Erbium-doped aluminum–yttrium oxide films (Er: Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}) were prepared by aerosol-UV assisted Metalorganic Chemical Vapor Deposition (MOCVD) at 410 °C and annealed at 1000 °C. The effects of humidity of carrier gas and UV-assistance on their structure and optical properties were investigated using scanning electron microscope, X-ray diffraction and Transmission electron microscopy. It was found that under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3} (10 mol%) two different structural phases are observed corresponding to the cubic and the monoclinic structures of Y{sub 2}O{sub 3}. When the deposition takes place under high air humidity and with UV assistance the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films present a very high mol% Al{sub 2}O{sub 3} (88 mol%) and crystallize in the Y{sub 3}Al{sub 5}O{sub 12} (YAG) compound mixed with an amorphous phase. The Er{sup 3+} luminescence analyzed in the visible and IR regions, shows the classical green transitions. The best optical properties were obtained with the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films grown under high air humidity with UV-assistance. Under such deposition conditions, {sup 4}I{sub 13/2} lifetimes was found to be 1.1 ms. This indicates that the deposition conditions, in particular air humidity, play an important role in the luminescent properties even after annealing. -- Highlights: • We investigate the effects of humidity and UV on the properties of Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}. • Under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3}. • Under high air humidity and with UV the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} present high mol% Al{sub 2}O{sub 3}. • The film crystallize in the YAG phase mixed with an amorphous phase. • The best optical properties were obtained under high air humidity with UV-assistance.

  11. Synthesis and characterization of Bi.sub.1-x./sub.Nd.sub.x./sub.FeO.sub.3./sub. thin films deposited using a high throughput physical vapour deposition technique

    Czech Academy of Sciences Publication Activity Database

    Darby, M.S.B.; Karpinsky, D.V.; Pokorný, Jan; Guerin, D.; Kholkin, A. L.; Miao, S.; Haydn, B.E.; Reaney, I. M.

    2013-01-01

    Roč. 531, MAR (2013), s. 56-60 ISSN 0040-6090 Institutional support: RVO:68378271 Keywords : bismuth ferrite * piezoelectric * neodymium doped * high throughput * combinatorial * physical vapour deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.867, year: 2013 http://www.sciencedirect.com/science/article/pii/S004060901201646X

  12. Volatile organometallic and semiconductor materials

    International Nuclear Information System (INIS)

    Dickson, R.S.

    1991-01-01

    This article reports on a project concerned with the metal organic chemical vapour deposition (MOCVD) of mercury-cadmium telluride (MCT) undertaken by a research consortium based in the Clayton area involving Monash University Chemistry Department, Telecom Research Laboratories, and CSIRO Division of Material Sciences and Technology. An M.R. Semicon 226 MOCVD reactor, operating near atmospheric presure with hydrogen carrier gas has been used. Most applications of MCT are direct consequence of its responsiveness to radiation in infrared region spectrum. The main aims of the project were to prepare and assess a range of volatile organometallics that might find use as a dopant sources for MCT, to prepare and study the properties of a range of different lanthanide complexes for MOCVD applications and to fully characterize the semiconductor wafers after growth. 19 refs., 3 figs

  13. LiF enhanced nucleation of the low temperature microcrystalline silicon prepared by plasma enhanced chemical vapour deposition

    Czech Academy of Sciences Publication Activity Database

    Stuchlík, Jiří; Ledinský, Martin; Honda, Shinya; Drbohlav, Ivo; Mates, Tomáš; Fejfar, Antonín; Hruška, Karel; Stuchlíková, The-Ha; Kočka, Jan

    2009-01-01

    Roč. 517, č. 24 (2009), s. 6829-6832 ISSN 0040-6090 R&D Projects: GA AV ČR KAN400100701; GA ČR(CZ) GD202/05/H003; GA MŠk LC510; GA AV ČR IAA1010413 Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous hydrogenated silicon * atomic force microscopy * plasma-enhanced chemical vapour deposition, * nucleation * Raman scattering * lithium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.727, year: 2009

  14. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K; Maroni, V A; Chen, Y; Selvamanickam, V

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa 2 Cu 3 O 7-δ (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions ≤7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO 3 nanodots.

  15. Preparation and physical properties of vapour-deposited carbon-carbon composites

    International Nuclear Information System (INIS)

    Loll, Philippe

    1976-01-01

    In its first part, this research thesis reports a bibliographical study on methods of preparation of various types of vapour-deposited (CVD) carbons, and the author notices that only structure and texture properties of these macroscopically homogeneous pyro-carbons have been studied in detail. For a better understanding of the behaviour of carbon-carbon composites, this thesis thus reports the study of the relationships between physical properties, macroscopic texture and microscopic structure. A densification installation and methods of characterisation have been developed. The fabrication process and its installation are presented (oven with its temperature and gas rate controls, study of its thermal gradient, substrate, heat treatments), and the study and characterisation of carbon-carbon composites are reported: structure and texture properties (studied by optic and scanning electronic microscopy, density measurements, and X-ray diffraction), physical properties (electronic paramagnetic resonance, static magnetism, electric and thermal conductivity). In the last part, the author comments and discusses the obtained results: conditions of preparation, existence, physical properties of the different observed microstructures [fr

  16. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  17. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  18. Contribution towards ALD and MOCVD of rare earth oxides and hafnium oxide. From precursor evaluation to process development and thin film characterization

    International Nuclear Information System (INIS)

    Xu, Ke

    2013-01-01

    This PhD thesis is consisted of two major parts: precursor development for ALD and MOCVD applications as well as thin film deposition using ALD and MOCVD with self developed precursors. The first part of this work presents the synthesis, characterization and detailed thermal property investigations of different novel group IV and rare earth precursor classes (guandinate, guanidine and ketoiminate). The second part of this work presents the ALD and MOCVD depositions using various guanidinate precursors for forming corresponding metal oxide thin films. The overall motivation of this work is to fulfill the lack of precursors of rare earth and group IV elements for ALD and MOCVD applications that satisfy the stringent requirements for the modern microelectronic and optoelectronic technologies. The aspect of the precursor engineering part is focusing on influence of ligand sphere on precursors' chemical and thermal properties. In this way, we successfully introduced guanidine and ketoiminate as potential ligands for the precursor design. The thin film deposition part of this work is ALD of rare earth oxides and group IV oxides employing literature known compounds which were previously developed in our research group. The main focus was dedicated to the process optimization, the characterization of the structural, morphological, compositional and functional properties of the deposited thin films. Certain film properties were discussed comparatively with the corresponding thin films deposited with literature known precursors. It was already shortly demonstrated in Chapter 6 that the guanidine ligand showed potential interest as suitable ligand for precursor engineering. This titan guanidine precursor [Ti(NC(NMe 2 ) 2 ) 4 ] (GD1) possesses higher thermal stability compared to its parent amide, [Ti(NMe 2 ) 4 ], while reactivity against water is not significantly affected. It could be very interesting to transfer this ligand for the precursor development of rare earth

  19. Metal-organic chemical vapor deposition of ultra-thin photovoltaic devices using a pyrite based p-i-n structure

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, A.J., E-mail: andy.clayton@optictechnium.com [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Irvine, S.J.C.; Barrioz, V.; Brooks, W.S.M. [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Zoppi, G.; Forbes, I. [NPAC, Northumbria University, Newcastle upon Tyne, NE1 8ST (United Kingdom); Rogers, K.D.; Lane, D.W.; Hutchings, K.; Roncallo, S. [Centre for Material Science and Engineering, Cranfield University, Swindon, SN6 8LA (United Kingdom)

    2011-08-31

    Ultra-thin photovoltaic (PV) devices were produced by atmospheric pressure metal organic chemical vapour deposition (AP-MOCVD) incorporating a highly absorbing intermediate sulphurised FeS{sub x} layer into a CdS/CdTe structure. X-ray diffraction (XRD) confirmed a transitional phase change to pyrite FeS{sub 2} after post growth sulphur (S) annealing of the FeS{sub x} layer between 400 deg. C and 500 deg. C. Devices using a superstrate configuration incorporating a sulphurised or non-sulphurised FeS{sub x} layer were compared to p-n devices with only a CdS/CdTe structure. Devices with sulphurised FeS{sub x} layers performed least efficiently, even though pyrite fractions were present. Rutherford back scattering (RBS) confirmed deterioration of the CdS/FeS{sub x} interface due to S inter-diffusion during the annealing process.

  20. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  1. Optical properties of hybrid quantum-well–dots nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Kalyuzhnyy, N. A.; Nadtochiy, A. M.; Maximov, M. V. [St. Petersburg Academic University (Russian Federation); Rouvimov, S. S. [University of Notre Dame (United States); Zhukov, A. E. [St. Petersburg Academic University (Russian Federation)

    2017-03-15

    The deposition of In{sub x}Ga{sub 1–x}As with an indium content of 0.3–0.5 and an average thickness of 3–27 single layers on a GaAs wafer by metalorganic chemical vapor deposition (MOCVD) at low temperatures results in the appearance of thickness and composition modulations in the layers being formed. Such structures can be considered to be intermediate nanostructures between ideal quantum wells and quantum dots. Depending on the average thickness and composition of the layers, the wavelength of the photoluminescence peak for the hybrid InGaAs quantum well–dots nanostructures varies from 950 to 1100 nm. The optimal average In{sub x}Ga{sub 1–x}As thicknesses and compositions at which the emission wavelength is the longest with a high quantum efficiency retained are determined.

  2. Enhanced flux pinning in MOCVD-YBCO films through Zr additions : systematic feasibility studies.

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T.; Paranthaman, M.; Specht, E. D.; Zhang, Y.; Kim, K.; Zuev, Y. L.; Cantoni, C.; Goyal, A.; Christen, D. K.; Maroni, V. A.; Chen, Y.; Selvamanickam, V.; ORNL; SuperPower, Inc.

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {le} 7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  3. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V, E-mail: aytugt@ornl.go [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2010-01-15

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {<=}7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  4. The thermodynamic approach to boron chemical vapour deposition based on a computer minimization of the total Gibbs free energy

    International Nuclear Information System (INIS)

    Naslain, R.; Thebault, J.; Hagenmuller, P.; Bernard, C.

    1979-01-01

    A thermodynamic approach based on the minimization of the total Gibbs free energy of the system is used to study the chemical vapour deposition (CVD) of boron from BCl 3 -H 2 or BBr 3 -H 2 mixtures on various types of substrates (at 1000 < T< 1900 K and 1 atm). In this approach it is assumed that states close to equilibrium are reached in the boron CVD apparatus. (Auth.)

  5. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  6. MOCVD growth of transparent conducting Cd2SnO4 thin films

    International Nuclear Information System (INIS)

    Metz, A.W.; Poeppelmeier, K.R.; Marks, T.J.; Lane, M.A.; Kannewurt, C.R.

    2004-01-01

    The first preparation of transparent conducting Cd 2 SnO 4 thin films by a simple MOCVD process is described. As-deposited films using Cd(hfa) 2 (TMEDA) (Figure), at 365 C are found to be highly crystalline with a relatively wide range of grain size of 100-300 nm. XRD indicates a cubic spinel Cd 2 SnO 4 crystal structure and the possible presence of a small amount of CdO. The films exhibit conductivities of 2170 S/cm and a bandgap of 3.3 eV, rivaling those of commercial tin-doped indium oxide. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  7. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  8. Optical monitoring of surface processes relevant to thin film growth by chemical vapour deposition

    International Nuclear Information System (INIS)

    Simcock, Michael Neil

    2002-01-01

    This thesis reports on the investigation of the use of reflectance anisotropy spectroscopy (RAS) as an in-situ monitor for the preparation and oxidation of GaAs(100) c(4x4) surfaces using a CVD 2000 MOCVD reactor. These surfaces were oxidised using air. It was found that it was possible to follow surface degradation using RA transients at 2.6eV and 4eV. From this data it was possible to speculate on the nature of the surface oxidation process. A study was performed into the rate of surface degradation under different concentrations of air, it was found that the relation between the air concentration and the surface degradation was complicated but that the behaviour of the first third of the degradation approximated a first order behaviour. An estimation of the activation energy of the process was then made, and an assessment of the potential use of the glove-box for STM studies which is an integral part of the MOCVD equipment was also made. Following this, a description is given of the construction of an interferometer for monitoring thin film growth. An investigation is also described into two techniques designed to evaluate the changes in reflected intensity as measured by an interferometer. The first technique uses an iteration procedure to determine the film thickness from the reflection data. This is done using a Taylor series expansion of the thin film reflection function to iterate for the thickness. Problems were found with the iteration when applied to noisy data, these were solved by using a least squares fit to smooth the data. Problems were also found with the iteration at the turning points these were solved using the derivative of the function and by anticipating the position of the turning points. The second procedure uses the virtual interface method to determine the optical constants of the topmost deposited material, the virtual substrate, and the growth rate. This method is applied by using a Taylor series expansion of the thin film reflection

  9. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Plate, Paul, E-mail: paul.plate@helmholtz-berlin.de; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Bartsch, Peter [Beuth Hochschule für Technik Berlin, Fachbereich VIII Maschinenbau, Veranstaltungstechnik, Verfahrenstechnik (Germany); Fiechter, Sebastian; Lux-Steiner, Martha Ch. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Fischer, Christian-Herbert [Freie Universität Berlin, Institute of Chemistry and Biochemistry (Germany)

    2017-04-15

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  10. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    International Nuclear Information System (INIS)

    Liu, Yang; Plate, Paul; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina; Bartsch, Peter; Fiechter, Sebastian; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2017-01-01

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  11. Direct fabrication of a W-C SNS Josephson junction using focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Dai, Jun; Kometani, Reo; Ishihara, Sunao; Warisawa, Shin’ichi; Onomitsu, Koji; Krockenberger, Yoshiharu; Yamaguchi, Hiroshi

    2014-01-01

    A tungsten-carbide (W-C) superconductor/normal metal/superconductor (SNS) Josephson junction has been fabricated using focused-ion-beam chemical vapour deposition (FIB-CVD). Under certain process conditions, the component ratio has been tuned from W: C: Ga = 26%: 66%: 8% in the superconducting wires to W: C: Ga = 14%: 79%: 7% in the metallic junction. The critical current density at 2.5 K in the SNS Josephson junction is 1/3 of that in W-C superconducting nanowire. Also, a Fraunhofer-like oscillation of critical current in the junction with four periods is observed. FIB-CVD opens avenues for novel functional superconducting nanodevices. (paper)

  12. Capacitive behavior of Ag doped V2O5 grown by aerosol assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Vernardou, D.; Marathianou, I.; Katsarakis, N.; Koudoumas, E.; Kazadojev, I.I.; O’Brien, S.; Pemble, M.E.; Povey, I.M.

    2016-01-01

    The growth of silver doped vanadium pentoxide was performed by aerosol assisted chemical vapour deposition and found to be optimal at 450° C. Additionally, an increase in crystallinity and a change in preferred orientation of V 2 O 5 was observed upon increasing the silver content. Silver incorporation also resulted in morphological changes in the thin films from rod to pellet-like structures. For higher silver content films the amount of incorporated charge increased and reversibility and repeatability was demonstrated for 500 cycles. Electrochemical impedance spectroscopy determined that the transfer and diffusion of Li+ ions through the cathode-electrolyte interface was assisted by silver loading, hence, enhancing the capacitive performance.

  13. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  14. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  15. Translation Effects in Fluorine Doped Tin Oxide Thin Film Properties by Atmospheric Pressure Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Mohammad Afzaal

    2016-10-01

    Full Text Available In this work, the impact of translation rates in fluorine doped tin oxide (FTO thin films using atmospheric pressure chemical vapour deposition (APCVD were studied. We demonstrated that by adjusting the translation speeds of the susceptor, the growth rates of the FTO films varied and hence many of the film properties were modified. X-ray powder diffraction showed an increased preferred orientation along the (200 plane at higher translation rates, although with no actual change in the particle sizes. A reduction in dopant level resulted in decreased particle sizes and a much greater degree of (200 preferred orientation. For low dopant concentration levels, atomic force microscope (AFM studies showed a reduction in roughness (and lower optical haze with increased translation rate and decreased growth rates. Electrical measurements concluded that the resistivity, carrier concentration, and mobility of films were dependent on the level of fluorine dopant, the translation rate and hence the growth rates of the deposited films.

  16. The vapour phase deposition of boron on titanium by the reaction between gaseous boron trichloride and titanium metal. Final report

    International Nuclear Information System (INIS)

    Cameron, D.J.; Shelton, R.A.J.

    1965-03-01

    The reaction, between boron trichloride vapour and titanium has been investigated in the temperature range 200 - 1350 deg. C. It has been found that an initial reaction leads to the formation of titanium tetrachloride and the deposition of boron on titanium, but that except for reactions between 900 and 1000 deg. C, the system is complicated by the formation of lower titanium chlorides due to secondary reactions between the titanium and titanium tetrachloride

  17. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  18. Fission product vapour - aerosol interactions in the containment: simulant fuel studies

    International Nuclear Information System (INIS)

    Beard, A.M.; Benson, C.G.; Bowsher, B.R.

    1988-12-01

    Experiments have been conducted in the Falcon facility to study the interaction of fission product vapours released from simulant fuel samples with control rod aerosols. The aerosols generated from both the control rod and fuel sample were chemically distinct and had different deposition characteristics. Extensive interaction was observed between the fission product vapours and the control rod aerosol. The two dominant mechanisms were condensation of the vapours onto the aerosol, and chemical reactions between the two components; sorption phenomena were believed to be only of secondary importance. The interaction of fission product vapours and reactor materials aerosols could have a major impact on the transport characteristics of the radioactive emission from a degrading core. (author)

  19. 10 GHz surface impedance measurements of (Y9Er)BaCuO films produced by MOCVD, laser ablation, and sputtering

    International Nuclear Information System (INIS)

    Luine, J.; Daly, K.; Hu, R.; Kain, A.; Lee, A.; Manasevit, H.; Pettiette-Hall, C.; Simon, R.; St John, D.; Wagner, M.

    1991-01-01

    This paper reports on a parallel-plate resonator technique previously used to measure microwave surface resistance R s (T) extended to also measure absolute penetration depth λ(T). Measurements of both quantities near 10 GHz from 4.2 K to Tc are reported for ErBaCuO thin films produced by metal-organic chemical vapor deposition (MOCVD) and YBaCuO think films produced by laser ablation and single-target off-axis sputtering. All the films were made at TRW. Each production method gives rise to films whose surface resistance is below 1 milliohm at temperatures below 40K. The low temperature penetration depths range from 250 nm for the laser ablation and sputtered films to 800 nm for the MOCVD films. The penetration depths in all cases increase with temperature according to the Gorter-Casimir temperature dependence

  20. Atomic layer deposition of Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/TiO{sub 2} barrier coatings to reduce the water vapour permeability of polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Ahmadzada, Tamkin, E-mail: tahm4852@uni.sydney.edu.au [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia); McKenzie, David R.; James, Natalie L.; Yin, Yongbai [School of Physics, University of Sydney, NSW 2006 (Australia); Li, Qing [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia)

    2015-09-30

    We demonstrate significantly enhanced barrier properties of polyetheretherketone (PEEK) against water vapour penetration by depositing Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/TiO{sub 2} nanofilms grown by atomic layer deposition (ALD). Nanoindentation analysis revealed good adhesion strength of a bilayer Al{sub 2}O{sub 3}/TiO{sub 2} coating to PEEK, while the single layer Al{sub 2}O{sub 3} coating displayed flaking and delamination. We identified three critical design parameters for achieving the optimum barrier properties of ALD Al{sub 2}O{sub 3}/TiO{sub 2} coatings on PEEK. These are a minimum total thickness dependent on the required water vapour transmission rate, the use of an Al{sub 2}O{sub 3}/TiO{sub 2} bilayer coating and the application of the coating to both sides of the PEEK film. Using these design parameters, we achieved a reduction in moisture permeability of PEEK of over two orders of magnitude while maintaining good adhesion strength of the polymer–thin film system. - Highlights: • Atomic layer deposition of Al{sub 2}O{sub 3}/TiO{sub 2} coatings reduced water vapour permeability. • Bilayer coatings reduced the permeability more than single layer coatings. • Bilayer coatings displayed higher adhesion strength than the single layer coatings. • Double-sided coatings performed better than single-sided coatings. • Correlation was found between total thickness and reduced water vapour permeability.

  1. Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology

    Science.gov (United States)

    Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.

    2016-09-01

    In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.

  2. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  3. Synthesis, characterization, and thermal properties of homoleptic rare-earth guanidinates: promising precursors for MOCVD and ALD of rare-earth oxide thin films.

    Science.gov (United States)

    Milanov, Andrian P; Fischer, Roland A; Devi, Anjana

    2008-12-01

    Eight novel homoleptic tris-guanidinato complexes M[(N(i)Pr)(2)CNR(2)](3) [M = Y (a), Gd (b), Dy (c) and R = Me (1), Et (2), (i)Pr (3)] have been synthesized and characterized by NMR, CHN-analysis, mass spectrometry and infrared spectroscopy. Single crystal structure analysis revealed that all the compounds are monomers with the rare-earth metal center coordinated to six nitrogen atoms of the three chelating guanidinato ligands in a distorted trigonal prism geometry. With the use of TGA/DTA and isothermal TGA analysis, the thermal characteristics of all the complexes were studied in detail to evaluate their suitability as precursors for thin film deposition by MOCVD and ALD. The (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) showed excellent thermal characteristics in terms of thermal stability and volatility. Additionally, the thermal stability of the (i)Pr-Me(2)N-guanidinates of Y and Dy (1a, c) in solution was investigated by carrying out NMR decomposition experiments and both the compounds were found to be remarkably stable. All these studies indicate that (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) have the prerequisites for MOCVD and ALD applications which were confirmed by the successful deposition of Gd(2)O(3) and Dy(2)O(3) thin films on Si(100) substrates. The MOCVD grown films of Gd(2)O(3) and Dy(2)O(3) were highly oriented in the cubic phase, while the ALD grown films were amorphous.

  4. Epitaxial growth of InP on SI by MOCVD

    International Nuclear Information System (INIS)

    Konushi, F.; Seki, A.; Kudo, J.; Sato, H.; Kakimoto, S.; Fukushima, T.; Kubota, Y.; Koba, M.

    1988-01-01

    The authors have studied the heteroepitaxial growth of InP on large diameter Si substrates using MOCVD. A new MOCVD system with four inch wafer size capability was utilized in the growth. Single domain InP films have been successfully grown on four inch Si substrates by using a new heterostructure with a thin GaAs intermediate layer. In this paper, the authors describe the crystalline quality and residual stress of InP epilayers, estimated by etch pit density and x-ray diffraction, respectively. The authors also reports on the reduction of EPD by post-growth annealing

  5. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  6. Model Research On Deposition Of Pure Aluminium Oxide Layers By MOCVD Method

    Directory of Open Access Journals (Sweden)

    Sawka A.

    2015-06-01

    Full Text Available The purpose of this research is to develop an optimal method for synthesizing of nanocrystalline Al2O3 monolayers at high growth rates on cemented carbides coated with an intermediate layer of pre-Al2O3-C (composite layers Al2O3-C/Al2O3. The use of quartz glass substrate allows for obtaining information about the quality of the layers such the thickness and density, because of its high transparency. The Al2O3 layers that do not containing carbon were synthesized on quartz glass by MOCVD using aluminum acetylacetonate and air as the reactants at temperatures of 700-1000°C. Argon was also a carrier gas. The resulting layers were transparent, as homogeneous nucleation did not occur during the synthesis process. The layers synthesized at lower temperatures were subjected to a crystallization process at temperatures above 900°C. The crystallization process was studied as a function of time and temperature. The obtained layers were characterized by their nanocrystalline microstructure.

  7. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    Science.gov (United States)

    Li, Shuai; He, Di; Liu, Xiaopeng; Wang, Shumao; Jiang, Lijun

    2012-01-01

    The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51-60 times less than that of the 316L stainless steel and 153-335 times less than that of the referred low activation martensitic steels at 860-960 K.

  8. Microstructural and compositional analysis of YBa2Cu3O7-δ films grown by MOCVD before and after GCIB smoothing

    International Nuclear Information System (INIS)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P.

    2004-01-01

    The microstructural and compositional evolution of thick (>1 μm) high temperature superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown on single crystal SrTiO 3 substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 μm, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I c ) measured before and after GCIB processing showed that the I c remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I c decrease of MOCVD YBCO films thicker than 0.5 μm

  9. Surface polish of PLA parts in FDM using dichloromethane vapour

    Directory of Open Access Journals (Sweden)

    Jin Yifan

    2017-01-01

    Full Text Available Fused deposition modelling has become one of the most diffused rapid prototyping techniques, which is widely used to fabricate prototypes. However, further application of this technology is severely limited by poor surface roughness. Thus it is necessary to adopt some operations to improve surface quality. Chemical finishing is typically employed to finish parts in fused deposition modelling (FDM. The purpose of this paper is to decrease the surface roughness for polylactic acid (PLA parts in FDM. The chemical reaction mechanism during the treating process is analysed. Then NaOH solution and dichloromethane vapour are used to treat FDM specimens respectively. A 3D laser microscope has been applied to assess the effects in terms of surface topography and roughness. The experimental results show that treatment using dichloromethane vapour performs much better than NaOH solution. Compared with the untreated group, surface roughness obtained through vapour treatment decreases by 88 per cent. This research has been conducted to provide a better method to treat PLA parts using chemical reagents.

  10. Photoluminescence study of novel phosphorus-doped ZnO nanotetrapods synthesized by chemical vapour deposition

    International Nuclear Information System (INIS)

    Yu Dongqi; Hu Lizhong; Qiao Shuangshuang; Zhang Heqiu; Fu Qiang; Chen Xi; Sun Kaitong; Len, Song-En Andy; Len, L K

    2009-01-01

    Novel phosphorus-doped and undoped single crystal ZnO nanotetrapods were fabricated on sapphire by a simple chemical vapour deposition method, using phosphorus pentoxide (P 2 O 5 ) as the dopant source. The optical properties of the samples were investigated by photoluminescence (PL) spectroscopy. Low-temperature PL measurements of phosphorus-doped and undoped samples were compared, and the results indicated a decrease in deep level defects due to the incorporation of a phosphorus acceptor dopant. The PL spectrum of the phosphorus-doped sample at 10 K exhibited several acceptor-bound exciton related emission peaks. The effect of phosphorus doping on the optical characteristics of the samples was investigated by excitation intensity and temperature dependent PL spectra. The acceptor-binding energies of the phosphorus dopant were estimated to be about 120 meV, in good agreement with the corresponding theoretical and experimental values in phosphorus-doped ZnO films and nanowires.

  11. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  12. Film thickness determination by grazing incidence diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Battiston, G A; Gerbasi, R [CNR, Padua (Italy). Istituto di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati

    1996-09-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive.

  13. Film thickness determination by grazing incidence diffraction

    International Nuclear Information System (INIS)

    Battiston, G. A.; Gerbasi, R.

    1996-01-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive

  14. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  15. Neutron diffraction and thermal studies of amorphous CS2 realised by low-temperature vapour deposition

    International Nuclear Information System (INIS)

    Yamamuro, O.; Matsuo, T.; Onoda-Yamamuro, N.; Takeda, K.; Munemura, H.; Tanaka, S.; Misawa, M.

    2003-01-01

    We have succeeded in preparing amorphous carbon disulphide (CS 2 ) by depositing its vapour on a cold substrate at 10 K. Complete formation of the amorphous state has been confirmed by neutron diffraction and differential thermal analysis (DTA). The amorphous sample crystallized at ca. 70 K, which is lower than the hypothetical glass transition temperature (92 K) estimated from the DTA data of the (CS 2 ) x (S 2 Cl 2 ) 1-x binary mixture. CS 2 , a symmetric linear tri-atomic molecule, is the simplest of the amorphized molecular substances whose structural and thermal information has been reported so far. Comparison of the static structure factors S(Q) has shown that the orientational correlation of CS 2 molecules may be much stronger in the amorphous state than in the liquid state at higher temperature. (authors)

  16. Expanding Thermal Plasma Chemical Vapour Deposition of ZnO:Al Layers for CIGS Solar Cells

    Directory of Open Access Journals (Sweden)

    K. Sharma

    2014-01-01

    Full Text Available Aluminium-doped zinc oxide (ZnO:Al grown by expanding thermal plasma chemical vapour deposition (ETP-CVD has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing ZnO:Al on CIGS solar cell stacks, one should be aware that high substrate temperature processing (i.e., >200°C can damage the crucial underlying layers/interfaces (such as CIGS/CdS and CdS/i-ZnO. In this paper, the potential of adopting ETP-CVD ZnO:Al in CIGS solar cells is assessed: the effect of substrate temperature during film deposition on both the electrical properties of the ZnO:Al and the eventual performance of the CIGS solar cells was investigated. For ZnO:Al films grown using the high thermal budget (HTB condition, lower resistivities, ρ, were achievable (~5 × 10−4 Ω·cm than those grown using the low thermal budget (LTB conditions (~2 × 10−3 Ω·cm, whereas higher CIGS conversion efficiencies were obtained for the LTB condition (up to 10.9% than for the HTB condition (up to 9.0%. Whereas such temperature-dependence of CIGS device parameters has previously been linked with chemical migration between individual layers, we demonstrate that in this case it is primarily attributed to the prevalence of shunt currents.

  17. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  18. Preparation of carbon nanotubes with different morphology by microwave plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M. [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan); Mansurov, Zulkhair [Al-Farabi Kazakh National University, 71 Al-Farabi av., 050038 Almaty (Kazakhstan); Tokmoldin, S.Zh. [Institute of Physics and Technology, Ibragimov Street 11, 050032 Almaty (Kazakhstan)

    2010-04-15

    In this work we present a part of our results about the preparation of carbon nanotube with different morphologies by using microwave plasma enhanced chemical vapour deposition MPECVD. Well aligned, curly, carbon nanosheets, coiled carbon sheets and carbon microcoils have been prepared. We have investigated the effect of the different growth condition parameters such as the growth temperature, pressure and the hydrogen to methane flow rate ratio on the morphology of the carbon nanotubes. The results showed that there is a great dependence of the morphology of carbon nanotubes on these parameters. The yield of the carbon microcoils was high when the growth temperature was 700 C. There is a linear relation between the growth rate and the methane to hydrogen ratio. The effect of the gas pressure on the CNTs was also studied. Our samples were investigated by scanning electron microscope and Raman spectroscopy (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  20. MEDUSA: The ExoMars experiment for in-situ monitoring of dust and water vapour

    Science.gov (United States)

    Colangeli, L.; Lopez-Moreno, J. J.; Nørnberg, P.; Della Corte, V.; Esposito, F.; Mazzotta Epifani, E.; Merrison, J.; Molfese, C.; Palumbo, P.; Rodriguez-Gomez, J. F.; Rotundi, A.; Visconti, G.; Zarnecki, J. C.; The International Medusa Team

    2009-07-01

    Dust and water vapour are fundamental components of the Martian atmosphere. In view of tracing the past environmental conditions on Mars, that possibly favoured the appearing of life forms, it is important to study the present climate and its evolution. Here dust and water vapour have (and have had) strong influence. Of major scientific interest is the quantity and physical, chemical and electrical properties of dust and the abundance of water vapour dispersed in the atmosphere and their exchange with the surface. Moreover, in view of the exploration of the planet with automated systems and in the future by manned missions, it is of primary importance to analyse the hazards linked to these environmental factors. The Martian Environmental Dust Systematic Analyser (MEDUSA) experiment, included in the scientific payload of the ESA ExoMars mission, accommodates a complement of sensors, based on optical detection and cumulative mass deposition, that aims to study dust and water vapour in the lower Martian atmosphere. The goals are to study, for the first time, in-situ and quantitatively, physical properties of the airborne dust, including the cumulative dust mass flux, the dust deposition rate, the physical and electrification properties, the size distribution of sampled particles and the atmospheric water vapour abundance versus time.

  1. The MOCVD challenge a survey of GaInAsp-InP and GaInAsp-GaAs for photonic and electronic device applications

    CERN Document Server

    Razeghi, Manijeh

    2010-01-01

    Introduction to Semiconductor Compounds III-V semiconductor alloys III-V semiconductor devices Technology of multilayer growth Growth Technology Metalorganic chemical vapor deposition New non-equilibrium growth techniques In situ Characterization during MOCVD Reflectance anisotropy and ellipsometry Optimization of the growth of III-V binaries by RDS RDS investigation of III-V lattice-matched heterojunctions RDS investigation of III-V lattice-mismatched structures Insights on the growt

  2. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  3. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    International Nuclear Information System (INIS)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-01-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the Unreal TM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures

  4. Towards engineered branch placement: Unreal™ match between vapour-liquid-solid glancing angle deposition nanowire growth and simulation

    Science.gov (United States)

    Taschuk, M. T.; Tucker, R. T.; LaForge, J. M.; Beaudry, A. L.; Kupsta, M. R.; Brett, M. J.

    2013-12-01

    The vapour-liquid-solid glancing angle deposition (VLS-GLAD) process is capable of producing complex nanotree structures with control over azimuthal branch orientation and height. We have developed a thin film growth simulation including ballistic deposition, simplified surface diffusion, and droplet-mediated cubic crystal growth for the VLS-GLAD process using the UnrealTM Development Kit. The use of a commercial game engine has provided an interactive environment while allowing a custom physics implementation. Our simulation's output is verified against experimental data, including a volumetric film reconstruction produced using focused ion beam and scanning-electron microscopy (SEM), crystallographic texture, and morphological characteristics such as branch orientation. We achieve excellent morphological and texture agreement with experimental data, as well as qualitative agreement with SEM imagery. The simplified physics in our model reproduces the experimental films, indicating that the dominant role flux geometry plays in the VLS-GLAD competitive growth process responsible for azimuthally oriented branches and biaxial crystal texture evolution. The simulation's successful reproduction of experimental data indicates that it should have predictive power in designing novel VLS-GLAD structures.

  5. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  6. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  7. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  8. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  9. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  10. Reduced thermal budget processing of Y-Ba-Cu-O films by rapid isothermal processing assisted metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high-temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y-Ba-Cu-O (YBCO) on yttrium stabilized zirconia substrates by RIP assisted MOCVD. Using O 2 gas as the source of oxygen, YBCO films deposited initially at 600 degree C for 1 min and at 745 degree C for 25 min followed by deposition at 780 degree C for 45 s are primarily c-axis oriented and zero resistance is observed at 89--90 K. The zero magnetic field current density at 53 and 77 K are 1.2x10 6 and 3x10 5 A/cm 2 , respectively. By using a mixture of N 2 O and O 2 as the oxygen source substrate temperature was further reduced in the deposition of YBCO films. The films deposited initially at 600 degree C for 1 min and than at 720 degree C for 30 min are c-axis oriented and with zero resistance being observed at 91 K. The zero magnetic field current densities at 53 and 77 K are 3.4x10 6 and 1.2x10 6 A/cm 2 , respectively. To the best of our knowledge this is the highest value of critical current density, J c for films deposited by MOCVD at a substrate temperature as low as 720 degree C. It is envisioned that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  11. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  12. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  13. Microstructural and compositional analysis of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} films grown by MOCVD before and after GCIB smoothing

    Energy Technology Data Exchange (ETDEWEB)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P

    2004-06-15

    The microstructural and compositional evolution of thick (>1 {mu}m) high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) films grown on single crystal SrTiO{sub 3} substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 {mu}m, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I{sub c}) measured before and after GCIB processing showed that the I{sub c} remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I{sub c} decrease of MOCVD YBCO films thicker than 0.5 {mu}m.

  14. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  15. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  16. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    Science.gov (United States)

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A.; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  17. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  18. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  19. Hardware Modifications to the US Army Research Laboratory’s Metalorganic Chemical Vapor Deposition (MOCVD) System for Optimization of Complex Oxide Thin Film Fabrication

    Science.gov (United States)

    2015-04-01

    the total absorbance, or the fraction of radiation absorbed at the measured wavelength; is the calculated molar extinction coefficient for the...of PZT thin films by liquid delivery MOCVD. Integrated Ferroelectrics. 2002;46:125–131. 14. Hiskes R, Dicarolis SA, Jacowitz RD, Lu Z, Feigelson RS

  20. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  1. MOCVD coating deposition of yttrium stabilized zirconia as backing for high-temperature superconductors on flexible substrates

    International Nuclear Information System (INIS)

    Jakschik, F.; Berger, W.; Seifert, L.; Nowick, W.; Leonhardt, G.

    1993-01-01

    The coating of carbon fibers with YSZ by means of the presented MOCVD process showed that in the bundle at temperatures between 500 - 600 C the coating thickness drops toward the center of the bundle. Sufficient homogeneity can be achieved only when the precipitation rate is selected slow enough to prevent the bundle edge from closing, or when the bundle is spread sufficiently open. The layers are on one hand ZrO 2 with incorporated carbon and on the other hand yttrium stabilized ZrO 2 with incorporated carbon. In both cases exclusively the cubic phase of the oxide was detected. The morphology of layers revealed only slight roughness with incorporation of relatively large nodules consisting of YSZ, caused by homogeneous gas phase reactions which are to be prevented. (orig.) [de

  2. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  3. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  4. Synthesis of suspended carbon nanotubes on silicon inverse-opal structures by laser-assisted chemical vapour deposition

    International Nuclear Information System (INIS)

    Shi, J; Lu, Y F; Wang, H; Yi, K J; Lin, Y S; Zhang, R; Liou, S H

    2006-01-01

    Suspended single-walled carbon nanotubes (SWNTs) have been synthesized on Si inverse-opal structures by laser-assisted chemical vapour deposition (LCVD). A CW CO 2 laser at 10.6 μm was used to directly irradiate the substrates during the LCVD process. At a laser power density of 14.3 MW m -2 , suspended SWNT networks were found predominantly rooted at the sharp edges in the Si inverse-opal structures. Raman spectroscopy indicated that the SWNT networks were composed of high-quality defect-free SWNTs with an average diameter of 1.3 nm. At a lower laser power density (6.4 MW m -2 ), multi-walled carbon nanotubes (MWNTs) were grown on the entire surface of the substrates. The preference for the synthesis of SWNTs or MWNTs was attributed to the difference in the catalyst sizes as well as the growth temperature in the LCVD process

  5. Neutron diffraction and thermal studies of amorphous CS{sub 2} realised by low-temperature vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamuro, O.; Matsuo, T. [Osaka Univ., Dept. of Chemistry, Graduate School of Sciences (Japan); Onoda-Yamamuro, N. [Tokyo Denki Univ., College of Sciences and Technology (Japan); Takeda, K. [Naruto Univ., Dept. of Chemistry, Tokushima (Japan); Munemura, H.; Tanaka, S.; Misawa, M. [Niigata Univ. (Japan). Faculty of Science

    2003-08-01

    We have succeeded in preparing amorphous carbon disulphide (CS{sub 2}) by depositing its vapour on a cold substrate at 10 K. Complete formation of the amorphous state has been confirmed by neutron diffraction and differential thermal analysis (DTA). The amorphous sample crystallized at ca. 70 K, which is lower than the hypothetical glass transition temperature (92 K) estimated from the DTA data of the (CS{sub 2}){sub x}(S{sub 2}Cl{sub 2}){sub 1-x} binary mixture. CS{sub 2}, a symmetric linear tri-atomic molecule, is the simplest of the amorphized molecular substances whose structural and thermal information has been reported so far. Comparison of the static structure factors S(Q) has shown that the orientational correlation of CS{sub 2} molecules may be much stronger in the amorphous state than in the liquid state at higher temperature. (authors)

  6. Dispersion of gold nanoclusters in TMBPA-polycarbonate by a combination of thermal embedding and vapour-induced crystallization

    International Nuclear Information System (INIS)

    Kruse, J; Dolgner, K; Greve, H; Zaporojtchenko, V; Faupel, F

    2006-01-01

    Gold nanoclusters can be dispersed into the surface of a bisphenol-A polycarbonate film by acetone vapour induced crystallization, an effect which has been demonstrated in a previous publication of our group. Gold nanoclusters were deposited by physical vapour deposition on an amorphous thin film of polycarbonate. After vapour induced crystallization these clusters were detected by depth profiling to be embedded into the surface, with a concentration maximum in a depth of approximately 100 nm. In this work, we replaced the BPA by the modified tetramethyl bisphenol-A polycarbonate, which shows a slower crystallization kinetics. A strong enhancement of the dispersion depth has been achieved by thermal pre-embedding of the clusters into the surface. Surface analysis by means of atomic force microscopy reflects the rearrangement of polymer material in the course of crystallization

  7. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  8. Reduced thermal budget processing of Y--Ba--Cu--O high temperature superconducting thin films by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y--Ba--Cu--O (YBCO) on MgO and SrTiO 3 substrates by RIP assisted MOCVD. By using a mixture of N 2 O and O 2 as the oxygen source films deposited initially at 600 degree C for 1 min and then at 740 degree C for 30 min are primarily c-axis oriented and with zero resistance being observed at 84 and 89 K for MgO and SrTiO 3 substrates, respectively. The zero magnetic field current densities at 77 K for MgO and SrTiO 3 substrates are 1.2x10 6 and 1.5x10 6 A/cm 2 , respectively. It is envisaged that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  9. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  10. Effects of boron addition on a-Si90Ge10:H films obtained by low frequency plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Perez, Arllene M; Renero, Francisco J; Zuniga, Carlos; Torres, Alfonso; Santiago, Cesar

    2005-01-01

    Optical, structural and electric properties of (a-(Si 90 Ge 10 ) 1-y B y :H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10 -3 to 10 1 Ω -1 cm -1 when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV

  11. Anti corrosion layer for stainless steel in molten carbonate fuel cell - comprises phase vapour deposition of titanium nitride, aluminium nitride or chromium nitride layer then oxidising layer in molten carbonate electrolyte

    DEFF Research Database (Denmark)

    2000-01-01

    Forming an anticorrosion protective layer on a stainless steel surface used in a molten carbonate fuel cell (MCFC) - comprises the phase vapour deposition (PVD) of a layer comprising at least one of titanium nitride, aluminium nitride or chromium nitride and then forming a protective layer in situ...

  12. Microstructure Evolution of Electron Beam Physical Vapour Deposited Ni-23.5Cr-2.66Co-1.44Al Superalloy Sheet During Annealing at 600 °C

    Directory of Open Access Journals (Sweden)

    Li Mingwei

    2013-02-01

    Full Text Available Microstructure evolution of electron beam physical vapour deposited (EB-PVD Ni‑23.5Cr‑2.66Co‑1.44Al superalloy sheet during annealing at 600 °C was investigated. The results showed that the as-deposited alloy was composed of only g phase. After annealing at 600 °C, the locations of diffraction peaks were still the same. The (220 diffraction peak of the deposition side increased with annealing time. The sheet on deposited side had a tendency toward forming (220 texture during post-annealing. No obvious texture was observed at as-deposited and annealed sheet at 600 °C in substrate side. The count and size of "voids" decreased with time. The size of grains increased obviously with annealing time. The ultimate tensile strength of EB-PVD Ni-23.5Cr-2.66Co-1.44Al alloy sheet increased from 641 MPa to 829 MPa after annealing at 600 °C for 30 hours.

  13. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  14. MOCVD process technology for affordable, high-yield, high-performance MESFET structures. Phase 3: MIMIC

    Science.gov (United States)

    1993-01-01

    Under the MIMIC Program, Spire has pursued improvements in the manufacturing of low cost, high quality gallium arsenide MOCVD wafers for advanced MIMIC FET applications. As a demonstration of such improvements, Spire was tasked to supply MOCVD wafers for comparison to MBE wafers in the fabrication of millimeter and microwave integrated circuits. In this, the final technical report for Spire's two-year MIMIC contract, we report the results of our work. The main objectives of Spire's MIMIC Phase 3 Program, as outlined in the Statement of Work, were as follows: Optimize the MOCVD growth conditions for the best possible electrical and morphological gallium arsenide. Optimization should include substrate and source qualification as well as determination of the optimum reactor growth conditions; Perform all work on 75 millimeter diameter wafers, using a reactor capable of at least three wafers per run; and Evaluate epitaxial layers using electrical, optical, and morphological tests to obtain thickness, carrier concentration, and mobility data across wafers.

  15. Effects of boron addition on a-Si(90)Ge(10):H films obtained by low frequency plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Pérez, Arllene M; Renero, Francisco J; Zúñiga, Carlos; Torres, Alfonso; Santiago, César

    2005-06-29

    Optical, structural and electric properties of (a-(Si(90)Ge(10))(1-y)B(y):H) thin film alloys, deposited by low frequency plasma enhanced chemical vapour deposition, are presented. The chemical bonding structure has been studied by IR spectroscopy, while the composition was investigated by Raman spectroscopy. A discussion about boron doping effects, in the composition and bonding of samples, is presented. Transport of carriers has been studied by measurement of the conductivity dependence on temperature, which increases from 10(-3) to 10(1) Ω(-1) cm(-1) when the boron content varies from 0 to 50%. Similarly, the activation energy is between 0.62 and 0.19 eV when the doping increases from 0 to 83%. The optical properties have been determined from the film's optical transmission, using Swanepoel's method. It is shown that the optical gap varies from 1.3 to 0.99 eV.

  16. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  17. Structural and superconducting properties of (Y,Gd)Ba2Cu3O7-δ grown by MOCVD on samarium zirconate buffered IBAD-MgO

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X; Chen, Y; Selvamanickam, V

    2008-01-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 μm thick (Y,Gd)Ba 2 Cu 3 O 7-δ ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9 0 and 3.4 0 for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J c ) of over 2 MA cm -2 at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J c and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd) 2 O 3 particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes

  18. The Seasonal Cycle of Water Vapour on Mars from Assimilation of Thermal Emission Spectrometer Data

    Science.gov (United States)

    Steele, Liam J.; Lewis, Stephen R.; Patel, Manish R.; Montmessin, Franck; Forget, Francois; Smith, Michael D.

    2014-01-01

    We present for the first time an assimilation of Thermal Emission Spectrometer (TES) water vapour column data into a Mars global climate model (MGCM). We discuss the seasonal cycle of water vapour, the processes responsible for the observed water vapour distribution, and the cross-hemispheric water transport. The assimilation scheme is shown to be robust in producing consistent reanalyses, and the global water vapour column error is reduced to around 2-4 pr micron depending on season. Wave activity is shown to play an important role in the water vapour distribution, with topographically steered flows around the Hellas and Argyre basins acting to increase transport in these regions in all seasons. At high northern latitudes, zonal wavenumber 1 and 2 stationary waves during northern summer are responsible for spreading the sublimed water vapour away from the pole. Transport by the zonal wavenumber 2 waves occurs primarily to the west of Tharsis and Arabia Terra and, combined with the effects of western boundary currents, this leads to peak water vapour column abundances here as observed by numerous spacecraft. A net transport of water to the northern hemisphere over the course of one Mars year is calculated, primarily because of the large northwards flux of water vapour which occurs during the local dust storm around L(sub S) = 240-260deg. Finally, outlying frost deposits that surround the north polar cap are shown to be important in creating the peak water vapour column abundances observed during northern summer.

  19. Tritium gas and tritiated water vapour behaviour in the environment from releases into the atmosphere from fusion reactors

    International Nuclear Information System (INIS)

    Velarde, Marta; Perlado, Manuel

    2001-01-01

    The diffusion of tritium from fusion reactors follows different ways according to the present chemical form, tritium gas or tritiated water vapour. The atmospheric conditions, speed and direction of the wind, rain intensity or stability class, are key factors in the dry and wet deposition. The obtained results demonstrate that the wet deposition is critical for the incorporation of the tritiated water vapour to the natural biological chain. However, the dry deposition is the factor that influences in the tritium gas form. The conversion of HT into HTO in the soil is rapid (1-7 days), and 20% of HT deposited in the soil is reemitted to the atmosphere in the form HTO, while the rest incorporates into the biological cycle. The rain factor accelerates the incorporation of tritium to the ground, the superficial waters and the underground waters

  20. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    Science.gov (United States)

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  1. Investigation of (Y,Gd)Ba2Cu3O7-x grown by MOCVD on a simplified IBAD MgO template

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X; Chen, Y; Xiong, X; Selvamanickam, V

    2010-01-01

    We have used an ion beam sputtered Y 2 O 3 -Al 2 O 3 (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba 2 Cu 3 O 7-x ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm -1 at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y 2 O 3 and Al 2 O 3 for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  2. Thin RuO2 conducting films grown by MOCVD for microelectronic applications

    International Nuclear Information System (INIS)

    Froehlich, K.; Cambel, V.; Machajdik, D.; Pignard, S.; Baumann, P. K.; Lindner, J.; Schumacher, M.

    2002-01-01

    We have prepared thin RuO 2 films by MOCVD using thermal evaporation of Ru(thd) 2 (cod) solid precursor. The films were prepared at deposition temperatures between 250 and 500 grad C on silicon and sapphire substrates. Different structure was observed for the RuO 2 films on these substrates; the films on Si substrate were polycrystalline, while X-ray diffraction analysis revealed epitaxial growth of RuO 2 on sapphire substrates. Polycrystalline RuO 2 films prepared at temperatures below 300 grad C on Si substrate exhibit smooth surface and excellent step coverage. Highly conformal growth of the RuO 2 films at low temperature and low pressure results in nearly 100% step coverage for sub-mm features with 1:1 aspect ratio. Resistivity of the polycrystalline RuO 2 at room temperature ranged between 100 and 200 μ x Ω x cm. These films are suitable for CMOS and RAM applications. (Authors)

  3. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  4. Fe3−δO4/MgO/Co magnetic tunnel junctions synthesized by full in situ atomic layer and chemical vapour deposition

    International Nuclear Information System (INIS)

    Mantovan, R; Vangelista, S; Kutrzeba-Kotowska, B; Lamperti, A; Fanciulli, M; Manca, N; Pellegrino, L

    2014-01-01

    Fe 3−δ O 4 /MgO/Co magnetic tunnel junctions (MTJs) are synthesized on top of ∼1 inch Si/SiO 2 substrates by conducting a full in situ chemical vapour and atomic layer deposition process with no vacuum break. Tunnel magnetoresistance up to 6% is measured at room temperature, increasing to 12.5% at 120 K. Our results demonstrate the possibility of using full-chemical processes to synthesize functional MTJs, and this could provide a path towards the use of cost-effective methods to produce magnetic devices on a large scale. (fast track communication)

  5. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  6. Crystalline, Optical and Electrical Properties of NiZnO Thin Films Fabricated by MOCVD

    International Nuclear Information System (INIS)

    Wang Jin; Wang Hui; Zhao Wang; Ma Yan; Li Wan-Cheng; Shi Zhi-Feng; Zhao Long; Zhang Bao-Lin; Dong Xin; Du Guo-Tong; Xia Xiao-Chuan

    2011-01-01

    NiZnO thin films are grown on c-plane sapphire substrates by using a photo-assisted metal organic chemical vapor deposition (MOCVD) system. The effect of the Ni content on the crystalline, optical and electrical properties of the films are researched in detail. The NiZnO films could retain a basic wurtzite structure when the Ni content is less than 0.18. As Ni content increases, crystal quality degradation could be observed in the x-ray diffraction patterns and a clear red shift of the absorption edge can be observed in the transmittance spectrum. Furthermore, the donor defects in the NiZnO film can be compensated for effectively by increasing the Ni content. The change of Ni content has an important effect on the properties of NiZnO films. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. Synthesis and properties of barium diketonates as precursors for MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Drozdov, A.A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Alikhanyan, A.S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Malkerova, I.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-08-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.).

  8. Synthesis and properties of barium diketonates as precursors for MOCVD

    International Nuclear Information System (INIS)

    Drozdov, A.A.; Troyanov, S.I.; Kuzmina, N.P.; Martynenko, L.I.; Alikhanyan, A.S.; Malkerova, I.P.

    1993-01-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.)

  9. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  10. The effect of thermal history on microstructure of Er_2O_3 coating layer prepared by MOCVD process

    International Nuclear Information System (INIS)

    Tanaka, Masaki; Takezawa, Makoto; Hishinuma, Yoshimitsu; Tanaka, Teruya; Muroga, Takeo; Ikeno, Susumu; Lee, Seungwon; Matsuda, Kenji

    2016-01-01

    Er_2O_3 is a high potential candidate material for tritium permeation barrier and electrical insulator coating for advanced breeding blanket systems with liquid metal or molten-salt types. Recently, Hishinuma et al. reported to form homogeneous Er_2O_3 coating layer on the inner surface of metal pipe using Metal Organic Chemical Vapor Deposition (MOCVD) process. In this study, the influence of thermal history on microstructure of Er_2O_3 coating layer on stainless steel 316 (SUS 316) substrate by MOCVD process was investigated using SEM, TEM and XRD. The ring and net shape selected-area electron diffraction (SAED) patterns of Er_2O_3 coating were obtained each SUS substrates, revealed that homogeneous Er_2O_3 coating had been formed on SUS substrate diffraction patterns. Close inspection of SEM images of the surface on the Er_2O_3 coating before and after thermal cycling up to 700degC in argon atmosphere, it is confirmed that the Er_2O_3 particles were refined by thermal history. The column-like Er_2O_3 grains were promoted to change to granular structure by thermal history. >From the cross-sectional plane of TEM observations, the formation of interlayer between Er_2O_3 coating and SUS substrate was also confirmed. (author)

  11. Microstructure Characteristics of High Lift Factor MOCVD REBCO Coated Conductors With High Zr Content

    Energy Technology Data Exchange (ETDEWEB)

    Galstyan, E; Gharahcheshmeh, MH; Delgado, L; Xu, AX; Majkic, G; Selvamanickam, V

    2015-06-01

    We report the microstructural characteristics of high levels of Zr-added REBa2Cu3O7-x (RE = Gd, Y rare earth) coated conductors fabricated by Metal Organic Chemical Vapor Deposition (MOCVD). The enhancements of the lift factor defined as a ratio of the in-field (3 T, B parallel to c-axis) critical current density (J(c)) at 30 K and self-field J(c) at 77 K have been achieved for Zr addition levels of 20 and 25 mol% via optimization of deposition parameters. The presence of strong flux pinning is attributed to the aligned nanocolumns of BaZrO3 and nanoprecipitates embedded in REBa2Cu3O7-x matrix with good crystal quality. A high density of BZO nanorods with a typical size 6-8 nm and spacing of 20 nm has been observed. Moreover, the high Zr content was found to induce a high density of intrinsic defects, including stacking faults and dislocations. The correlation between in-field performance along the c-axis and microstructure of (Gd, Y) BCO film with a high level of Zr addition is discussed.

  12. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  13. Industrial metalorganic chemical vapor deposition technology for the growth of YBa2Cu3O7-∂

    International Nuclear Information System (INIS)

    Schulte, B.; Richards, B.C.; Cook, S.L.

    1997-01-01

    MOCVD is the established technology for the mass production of compound semiconductors for e.g. opto-electronic devices. To transfer the MOCVD technology for HTS films to the standard MOCVD technology used in semiconductor production two major challenges have to be solved: 1. the Ba-precursor instability and 2. the demonstration of uniform deposition of HTS films onto large area substrates. This paper presents an industrial MOCVD process solving these challenges using a new stable fluorinated Ba-precursor and a gas foil rotation trademark susceptor. On a 2 inch diameter substrate area state-of-the-art YBCO thin films were fabricated having a thickness uniformity of 1% and compositional uniformity of 2% and 5% for Y/Ba and Cu/Ba, respectively. The films show a surface morphology with low defect density ( 2 ) and excellent superconducting properties (T c (50%) > 90 K, j c (T=77 K, B=0T) > 5 x 10 6 A cm -2 ). The residual contamination by fluorine was determined by SIMS to be less than 250 ppm. This gives the strong evidence that this industrial process can be transferred to the multiwafer planetary reactors trademark for mass production. (orig.)

  14. Synthesis and microstructural characterization of growth direction controlled ZnO nanorods using a buffer layer

    International Nuclear Information System (INIS)

    Park, Dong Jun; Kim, Dong Chan; Lee, Jeong Yong; Cho, Hyung Koun

    2006-01-01

    The growth direction and morphology of one-dimensional ZnO nanostructures grown by metal-organic chemical vapour deposition (MOCVD) were modulated by changing the growth temperature of previously deposited ZnO buffer layers that were used as a template. The ZnO nanorods grown on the low-temperature deposited buffer layer were regularly inclined with respect to the substrate surface and show in-plane alignment with azimuthally six-fold symmetry. In contrast, deposition of the buffer layer at higher growth temperature led to the formation of vertically well-aligned ZnO nanorods. In addition, the ZnO nanorods grown on the buffer layer deposited at low growth temperature show a growth direction of [1 0 1-bar 0], unlike the conventional ZnO nanorods showing a growth direction of [0001]. The microstructural analysis and atomic modelling of the formation of regularly inclined nanorods using transmission electron microscopy are presented

  15. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  16. Enhanced Light Scattering by Preferred Orientation Control of Ga Doped ZnO Films Prepared through MOCVD

    Directory of Open Access Journals (Sweden)

    Long Giang Bach

    2016-01-01

    Full Text Available We have explored the effective approach to fabricate GZO/ZnO films that can make the pyramidal surface structures of GZO films for effective light scattering by employing a low temperature ZnO buffer layer prior to high temperature GZO film growth. The GZO thin films exhibit the typical preferred growth orientations along the (002 crystallographic direction at deposition temperature of 400°C and SEM showed that column-like granule structure with planar surface was formed. In contrast, GZO films with a pyramidal texture surface were successfully developed by the control of (110 preferred orientation. We found that the light diffuse transmittance of the film with a GZO (800 nm/ZnO (766 nm exhibited 13% increase at 420 nm wavelength due to the formed large grain size of the pyramidal texture surface. Thus, the obtained GZO films deposited over ZnO buffer layer have high potential for use as front TCO layers in Si-based thin film solar cells. These results could develop the potential way to fabricate TCO based ZnO thin film using MOCVD or sputtering techniques by depositing a low temperature ZnO layer to serve as a template for high temperature GZO film growth. The GZO films exhibited satisfactory optoelectric properties.

  17. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    Energy Technology Data Exchange (ETDEWEB)

    Cauzid, J. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, BP 220, 38043 Grenoble Cedex (France)], E-mail: jean.cauzid@esrf.fr; Philippot, P. [Geobiosphere Actuelle et Primitive, Institut de Physique du Globe de Paris, CNRS and Universite Denis Diderot, Case 89, 4 place Jussieu, 75252 Paris Cedex 05 (France); Bleuet, P. [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, BP 220, 38043 Grenoble Cedex (France); Simionovici, A. [Laboratoire de Geophysique Interne et Tectonophysique, BP 53, 38041 Grenoble Cedex 9 (France); Somogyi, A. [Synchrotron Soleil, DiffAbs beamline, Saint-Aubin, BP 48, 91192 Gif-sur-Yvette Cedex (France); Golosio, B. [Instituto di Matematica e Fisica, Universita di Sassari, 2 via Vienna, 07100 Sassari (Italy)

    2007-08-15

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  18. 3D imaging of vapour and liquid inclusions from the Mole Granite, Australia, using helical fluorescence tomography

    Science.gov (United States)

    Cauzid, J.; Philippot, P.; Bleuet, P.; Simionovici, A.; Somogyi, A.; Golosio, B.

    2007-08-01

    World class Cu resources are concentrated in porphyry and epithermal ore deposits. Their formation remains partially understood, however, due to a lack of constraints on the partitioning properties of trace elements in general, and Cu in particular, between vapour and liquid phases evolved from boiling fluids at depth in the Earth's crust. Immiscible liquid and vapour fluid inclusions coexisting in a single quartz grain have been imaged in three dimensions by X-ray Fluorescence Computed Tomography (XFCT). Elemental spatial distributions confirm that Cu, and to a lesser extent As, partition into the vapour phase, whereas Mn, Fe, Zn, Br, Rb, Sr and Pb concentrate in the liquid inclusion. High resolution mapping of the vapour inclusions revealed that Cu is heterogeneously distributed at the scale of a single inclusion and is mostly concentrated as tiny daughter crystals.

  19. Chemical vapour deposition at atmospheric pressure of graphene on molybdenum foil: Effect of annealing time on characteristics and corrosion stability of graphene coatings

    International Nuclear Information System (INIS)

    Naghdi, Samira; Jevremović, Ivana; Mišković-Stanković, Vesna; Rhee, Kyong Yop

    2016-01-01

    Highlights: • Atmospheric pressure chemical vapor deposition of graphene on molybdenum foils. • Quality and domain size of graphene layers increased with longer annealing times. • The number of graphene layers decreased with longer annealing times. • Graphene coatings on molybdenum foils exhibited corrosion inhibitive properties. - Abstract: In this work, the effect of pre-annealing of Mo substrate on the quality of graphene layers grown by chemical vapour deposition was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy. Moreover, different electrochemical techniques were employed to investigate the corrosion stability of the graphene coated Mo in 0.1 M NaCl. Longer annealing time resulted in less defective graphene coatings with fewer layers. Graphene coating on the annealed Mo provided better protection against corrosion during the initial exposure times, while after prolonged exposure times, both graphene coatings on annealed and non-annealed Mo exhibited nearly the same corrosion inhibitive properties.

  20. Surface engineering of artificial heart valve disks using nanostructured thin films deposited by chemical vapour deposition and sol-gel methods.

    Science.gov (United States)

    Jackson, M J; Robinson, G M; Ali, N; Kousar, Y; Mei, S; Gracio, J; Taylor, H; Ahmed, W

    2006-01-01

    Pyrolytic carbon (PyC) is widely used in manufacturing commercial artificial heart valve disks (HVD). Although PyC is commonly used in HVD, it is not the best material for this application since its blood compatibility is not ideal for prolonged clinical use. As a result thrombosis often occurs and the patients are required to take anti-coagulation drugs on a regular basis in order to minimize the formation of thrombosis. However, anti-coagulation therapy gives rise to some detrimental side effects in patients. Therefore, it is extremely urgent that newer and more technically advanced materials with better surface and bulk properties are developed. In this paper, we report the mechanical properties of PyC-HVD, i.e. strength, wear resistance and coefficient of friction. The strength of the material was assessed using Brinell indentation tests. Furthermore, wear resistance and coefficient of friction values were obtained from pin-on-disk testing. The micro-structural properties of PyC were characterized using XRD, Raman spectroscopy and SEM analysis. Also in this paper we report the preparation of freestanding nanocrystalline diamond films (FSND) using the time-modulated chemical vapour deposition (TMCVD) process. Furthermore, the sol-gel technique was used to uniformly coat PyC-HVD with dense, nanocrystalline-titanium oxide (nc-TiO2) coatings. The as-grown nc-TiO2 coatings were characterized for microstructure using SEM and XRD analysis.

  1. Vapour pressures and enthalpies of vapourization of a series of the linear aliphatic nitriles

    International Nuclear Information System (INIS)

    Emel'yanenko, Vladimir N.; Verevkin, Sergey P.; Koutek, Bohumir; Doubsky, Jan

    2005-01-01

    Vapour pressures and the molar enthalpies of vapourization ΔlgHm-bar of the linear aliphatic nitriles C 7 -C 17 have been determined by the transpiration method. Kovat's indices of these compounds were measured by capillary gas-chromatography. A linear correlation of enthalpies of vapourization ΔlgHm-bar at T=298.15 K of the nitriles studied with the Kovats indices has been found

  2. Surface characterization of III-V MOCVD films from heterocyclic single-source precursors; Oberflaechencharakterisierung von III-V MOCVD-Filmen aus heterozyklischen Single Source Precursoren

    Energy Technology Data Exchange (ETDEWEB)

    Seemayer, Andreas

    2009-07-13

    In the present thesis the sublimation and evaporation properties of heterocyclic gallium and antimony containing single-source precursors as well as the chemical composition and morphology of the films fabricated from this were studied. The single-source precursors available by a new synthesis route were characterized concerning their evaporation properties and the obtained films studied surface-physically. By this way the process parameters were optimized and the applicability of the single-source precursors in HV-MOCVD processes studied. By evaporation experiments in the UHV it could be shown that thereby lighter ligands like ethyl- and methyl-groups lead to a lower contamination of the reaction space with carbon containing molecules. Furthermore it was expected that the 6-rings synthetized with short ligands exhibit a high stability. This however could not be confirmed. By unwanted parasitary reactions in the gaseous phase respectively dissociative sublimation in the gaseous phase a deposition of GaSb with these precursors was not possible. The 4-ring stabilized with tertiary-butyl and ethyl-groups caused in the evaporation the largest contamination of the gaseous phase, becauselonger-chain hydrocarbons exhibil only a bad pump cross section. By parasitary reactions originating elementary antimony is detectable in the gaseous phase. The films were studied concerning their chemical composition and their transport- respectively storage-conditioned surface contamination. Furthermore it has become clear that not only a purely synthetized precursor substance but also the reactor design is deciding for a successful deposition and a high film quality. First by successive optimization of the evaporation geometry it was possible to reduce the roughness of the produced GaSb films down to about 10 nm-30 nm.

  3. The role of the gas species on the formation of carbon nanotubes during thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    Ohashi, Fumitaka; Chen, Guan Yow; Stolojan, Vlad; Silva, S Ravi P

    2008-01-01

    In this paper, we investigate the several roles that hydrogen plays in the catalytic growth of carbon nanotubes from the point of view of gas species, catalyst activation and subsequent interaction with the carbon nanotubes. Carbon nanotubes and nanofibres were grown by thermal chemical vapour deposition, using methane and a mixture of hydrogen and helium, for a range of growth temperatures and pre-treatment procedures. Long, straight carbon nanotubes were obtained at 900 deg. C, and although the growth yield increases with the growth temperature, the growth shifts from nanotubes to nanofibres. By introducing a helium purge as part of the pre-treatment procedure, we change the gas chemistry by altering the hydrogen concentration in the initial reaction stage. This simple change in the process resulted in a clear difference in the yield and the structure of the carbon nanofibres produced. We find that the hydrogen concentration in the initial reaction stage significantly affects the morphology of carbon fibres. Although hydrogen keeps the catalyst activated and increases the yield, it prevents the formation of graphitic nanotubes.

  4. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C; Edwards, Paul R.; O'Donnell, Kevin Peter; Boćkowski, Michał X.; Alves, Eduardo Jorge; Roqan, Iman S.; Vantomme, André ; Lorenz, Katharina

    2014-01-01

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C

    2014-01-20

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    Science.gov (United States)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry-differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C4H4CuO6 → Cu reaction occurs at ∼250-310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100-400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5-1 μm and fiber diameter of 100-200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  7. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  8. Preparation of YBa2Cu3O7 films by low pressure MOCVD using liquid solution sources

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    A hybrid low pressure MOCVD process is described for reproducible preparation of superconducting thin films of YBa 2 Cu 3 O 7 . The process uses a single solution source of Y, Ba, and Cu β-diketonates dissolved in suitable organic solvents. This liquid precursor is atomized using an ultrasonic aerosol generator and transported as small droplets (∼1μm) into a CVD reactor where solvent and precursor are first evaporated before deposition takes place at low pressure on heated substrates in a cold wall geometry. This process allows, with stable evaporation rates for all three precursors, to grow in-situ superconducting films with constant composition from film to film. Thin and thick films with high critical temperatures and critical currents have been obtained (Tc>80K, Jc>10 4 A/cm 2 at 77K in self field) which are highly c-axis oriented. Experimental details of this new process are described and the effects of different process parameters are studied in order to improve the quality of the deposited layers. (orig.)

  9. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  10. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  11. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  12. Transport properties of field effect transistors with randomly networked single walled carbon nanotubes grown by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Kim, Un Jeong; Park, Wanjun

    2009-01-01

    The transport properties of randomly networked single walled carbon nanotube (SWNT) transistors with different channel lengths of L c = 2-10 μm were investigated. Randomly networked SWNTs were directly grown for the two different densities of ρ ∼ 25 μm -2 and ρ ∼ 50 μm -2 by water plasma enhanced chemical vapour deposition. The field effect transport is governed mainly by formation of the current paths that is related to the nanotube density. On the other hand, the off-state conductivity deviates from linear dependence for both nanotube density and channel length. The field effect mobility of holes is estimated as 4-13 cm 2 V -1 s -1 for the nanotube transistors based on the simple MOS theory. The mobility is increased for the higher density without meaningful dependence on the channel lengths.

  13. Investigation of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} grown by MOCVD on a simplified IBAD MgO template

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States); Xiong, X; Selvamanickam, V [Mechanical Engineering Department, University of Houston, Houston, TX 77204-4006 (United States)

    2010-01-15

    We have used an ion beam sputtered Y{sub 2}O{sub 3}-Al{sub 2}O{sub 3} (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm{sup -1} at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y{sub 2}O{sub 3} and Al{sub 2}O{sub 3} for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  14. Continuous measurements of isotopic composition of water vapour on the East Antarctic Plateau

    Directory of Open Access Journals (Sweden)

    M. Casado

    2016-07-01

    Full Text Available Water stable isotopes in central Antarctic ice cores are critical to quantify past temperature changes. Accurate temperature reconstructions require one to understand the processes controlling surface snow isotopic composition. Isotopic fractionation processes occurring in the atmosphere and controlling snowfall isotopic composition are well understood theoretically and implemented in atmospheric models. However, post-deposition processes are poorly documented and understood. To quantitatively interpret the isotopic composition of water archived in ice cores, it is thus essential to study the continuum between surface water vapour, precipitation, surface snow and buried snow. Here, we target the isotopic composition of water vapour at Concordia Station, where the oldest EPICA Dome C ice cores have been retrieved. While snowfall and surface snow sampling is routinely performed, accurate measurements of surface water vapour are challenging in such cold and dry conditions. New developments in infrared spectroscopy enable now the measurement of isotopic composition in water vapour traces. Two infrared spectrometers have been deployed at Concordia, allowing continuous, in situ measurements for 1 month in December 2014–January 2015. Comparison of the results from infrared spectroscopy with laboratory measurements of discrete samples trapped using cryogenic sampling validates the relevance of the method to measure isotopic composition in dry conditions. We observe very large diurnal cycles in isotopic composition well correlated with temperature diurnal cycles. Identification of different behaviours of isotopic composition in the water vapour associated with turbulent or stratified regime indicates a strong impact of meteorological processes in local vapour/snow interaction. Even if the vapour isotopic composition seems to be, at least part of the time, at equilibrium with the local snow, the slope of δD against δ18O prevents us from identifying

  15. Measuring the diffusion of Ti and Cu in low-k materials for microelectronic devices by EELS, EFTEM and EDX

    International Nuclear Information System (INIS)

    Barnes, J-P; Lafond, D; Guedj, C; Fayolle, M; Meininger, P; Maitrejean, S; David, T; Posseme, N; Bayle-Guillemaud, P; Chabli, Amal

    2006-01-01

    The need to reduce RC delay and cross talk in Cu interconnects means that ultra low-k dielectrics such as porous SiCOH are being integrated into microelectronic devices. Unfortunately porous materials lead to integration issues such as metal diffusion into the porosity of the dielectric, especially when chemical vapour deposition (CVD) methods are used for metal deposition. In our case, the copper anti-diffusion barrier used before Cu deposition is MOCVD TiN. Without an appropriate surface treatment (pore sealing) of the low-k the TiN may diffuse in the porosity. The presence of Ti or Cu in the low-k is deleterious as it can raise the dielectric constant and the leakage current. EFTEM EELS and EDX have been used to map Ti, Cu, O and C as a function of process conditions

  16. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    International Nuclear Information System (INIS)

    Zhang, Y.; Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B.; Majkic, G.; Selvamanickam, V.

    2012-01-01

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90° to 180°) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  17. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS 2) thin films by MOCVD

    Science.gov (United States)

    Höpfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H.

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ / mol over the temperature range from 250 to 400°C. From 500 to 630°C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe 1 - xS) occurs at higher growth temperatures. The {S}/{Fe} ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 Å / s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 Å / s. Temperatures above 550°C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 μm.

  18. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  19. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  20. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  1. The Liquid Vapour Interface

    DEFF Research Database (Denmark)

    Als-Nielsen, Jens Aage

    1985-01-01

    In this short review we are concerned with the density variation across the liquid-vapour interface, i.e. from the bulk density of the liquid to the essentially zero density of the vapour phase. This density variation can in principle be determined from the deviation of the reflectivity from...

  2. Structural and superconducting properties of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} grown by MOCVD on samarium zirconate buffered IBAD-MgO

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2008-10-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 {mu}m thick (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9{sup 0} and 3.4{sup 0} for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J{sub c}) of over 2 MA cm{sup -2} at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J{sub c} and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd){sub 2}O{sub 3} particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes.

  3. Preparation of SmBCO layer for the surface optimization of GdYBCO film by MOCVD process based on a simple self-heating technology

    Science.gov (United States)

    Zhao, Ruipeng; Zhang, Fei; Liu, Qing; Xia, Yudong; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong

    2018-07-01

    The MOCVD process was adopted to grow the REBa2Cu3O7-δ ((REBCO), RE = rare earth elements) films on the LaMnO3 (LMO) templates. Meanwhile, the LMO-template tapes are heated by the joule effect after applying a heating current through the Hastelloy metal substrates. The surface of GdYBCO films prepared by MOCVD method is prone to form outgrowths. So the surface morphology of GdYBCO film is optimized by depositing the SmBCO layer, which is an important process method for the preparation of high-quality multilayer REBCO films. At last, the GdYBCO/SmBCO/GdYBCO multilayer films were successfully prepared on the LMO templates based on the simple self-heating method. It is demonstrated that the GdYBCO surface was well improved by the characterization analysis of scanning electron microscope. And the Δω of REBCO (005) and Δφ of REBCO (103), which were performed by an X-ray diffraction system, are respectively 1.3° and 3.3° What's more, the critical current density (Jc) has been more than 3 MA/cm2 (77 K, 0 T) and the critical current (Ic) basically shows a trend of good linear increase with the increase of the number of REBCO layers.

  4. Synthesis of carbon nanostructures from high density polyethylene (HDPE) and polyethylene terephthalate (PET) waste by chemical vapour deposition

    Science.gov (United States)

    Hatta, M. N. M.; Hashim, M. S.; Hussin, R.; Aida, S.; Kamdi, Z.; Ainuddin, AR; Yunos, MZ

    2017-10-01

    In this study, carbon nanostructures were synthesized from High Density Polyethylene (HDPE) and Polyethylene terephthalate (PET) waste by single-stage chemical vapour deposition (CVD) method. In CVD, iron was used as catalyst and pyrolitic of carbon source was conducted at temperature 700, 800 and 900°C for 30 minutes. Argon gas was used as carrier gas with flow at 90 sccm. The synthesized carbon nanostructures were characterized by FESEM, EDS and calculation of carbon yield (%). FESEM micrograph shows that the carbon nanostructures were only grown as nanofilament when synthesized from PET waste. The synthesization of carbon nanostructure at 700°C was produced smooth and the smallest diameter nanofilament compared to others. The carbon yield of synthesized carbon nanostructures from PET was lower from HDPE. Furthermore, the carbon yield is recorded to increase with increasing of reaction temperature for all samples. Elemental study by EDS analysis were carried out and the formation of carbon nanostructures was confirmed after CVD process. Utilization of polymer waste to produce carbon nanostructures is beneficial to ensure that the carbon nanotechnology will be sustained in future.

  5. Evaluation of freestanding boron-doped diamond grown by chemical vapour deposition as substrates for vertical power electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Issaoui, R.; Achard, J.; Tallaire, A.; Silva, F.; Gicquel, A. [LSPM-CNRS (formerly LIMHP), Universite Paris 13, 99, Avenue Jean-Baptiste Clement, 93430 Villetaneuse (France); Bisaro, R.; Servet, B.; Garry, G. [Thales Research and Technology France, Campus de Polytechnique, 1 Avenue Augustin Fresnel, F-91767 Palaiseau Cedex (France); Barjon, J. [GEMaC-CNRS, Universite de Versailles Saint Quentin Batiment Fermat, 45 Avenue des Etats-Unis, 78035 Versailles Cedex (France)

    2012-03-19

    In this study, 4 x 4 mm{sup 2} freestanding boron-doped diamond single crystals with thickness up to 260 {mu}m have been fabricated by plasma assisted chemical vapour deposition. The boron concentrations measured by secondary ion mass spectroscopy were 10{sup 18} to 10{sup 20} cm{sup -3} which is in a good agreement with the values calculated from Fourier transform infrared spectroscopy analysis, thus indicating that almost all incorporated boron is electrically active. The dependence of lattice parameters and crystal mosaicity on boron concentrations have also been extracted from high resolution x-ray diffraction experiments on (004) planes. The widths of x-ray rocking curves have globally shown the high quality of the material despite a substantial broadening of the peak, indicating a decrease of structural quality with increasing boron doping levels. Finally, the suitability of these crystals for the development of vertical power electronic devices has been confirmed by four-point probe measurements from which electrical resistivities as low as 0.26 {Omega} cm have been obtained.

  6. Efficient Pd@MIL-101(Cr) hetero-catalysts for 2-butyne-1,4-diol hydrogenation exhibiting high selectivity

    KAUST Repository

    Yin, Dongdong

    2017-01-05

    Pd@MIL-101(Cr) hetero-catalysts have been successfully prepared using the metal-organic chemical vapour deposition (MOCVD) approach, by choosing [Pd(η-CH)(η-CH)] as a volatile precursor, and the hydrothermally stable metal-organic framework, MIL-101(Cr) as a support. The prepared Pd@MIL-101(Cr) hetero-catalysts characterized with various analytical techniques, exhibited highly monodispersed immobilized Pd nanoparticles in the MIL-101(Cr) cavities, while retaining the pristine crystallinity and porosity. The intact hybrid Pd@MIL-101(Cr) has been demonstrated to be an efficient catalyst for 2-butyne-1,4-diol hydrogenation with excellent activity, stability and selectivity (2-butene-1,4-diol (>94%)).

  7. GE NANOCLUSTERS IN PLANAR GLASS WAVEGUIDES DEPOSITED BY PECVD

    DEFF Research Database (Denmark)

    Haiyan, Ou; Olsen, Johnny H.; Rottwitt, Karsten

    2004-01-01

    Germanium (Ge) has been widely used as the dopant in the core layer of planar glass waveguides to increase the refractive index because it gives a small propagation loss. Plasma enhanced chemical vapour deposition (PECVD) and flame hydrolysis deposition (FHD) are two main material deposition meth...

  8. Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD

    Science.gov (United States)

    Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2017-10-01

    We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.

  9. Growth of a New Ternary BON Crystal on Si(100) by Plasma-Assisted MOCVD and Study on the Effects of Fed Gas and Growth Temperature

    Science.gov (United States)

    Chen, G. C.; Lee, S.-B.; Boo, J.-H.

    A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.

  10. Vapour dynamics during magma-water interaction experiments: hydromagmatic origins of submarine volcaniclastic particles (limu o Pele)

    Science.gov (United States)

    Schipper, C. Ian; Sonder, Ingo; Schmid, Andrea; White, James D. L.; Dürig, Tobias; Zimanowski, Bernd; Büttner, Ralf

    2013-03-01

    Recent observations have shattered the long-held theory that deep-sea (>500 m) explosive eruptions are impossible; however, determining the dynamics of unobserved eruptions requires interpretation of the deposits they produce. For accurate interpretation to be possible, the relative abilities of explosive magmatic degassing and non-explosive magma-water interaction to produce characteristic submarine volcaniclastic particles such as `limu o Pele' (bubble wall shards of glass) must be established. We experimentally address this problem by pouring remelted basalt (1300 °C, anhydrous) into a transparent, water-filled reservoir, recording the interaction with a high-speed video camera and applying existing heat transfer models. We performed the experiments under moderate to high degrees of water subcooling (˜8 l of water at 58 and 3 °C), with ˜0.1 to 0.15 kg of melt poured at ˜10-2 kg s-1. Videos show the non-explosive, hydromagmatic blowing and bursting of isolated melt bubbles to form limu o Pele particles that are indistinguishable from those found in submarine volcaniclastic deposits. Pool boiling around growing melt bubbles progresses from metastable vapour film insulation, through vapour film retraction/collapse, to direct melt-water contact. These stages are linked to the evolution of melt-water heat transfer to verify the inverse relationship between vapour film stability and the degree of water subcooling. The direct contact stage in particular explains the extremely rapid quench rates determined from glass relaxation speedometry for natural limu. Since our experimentally produced limu is made entirely by the entrapping of ambient water in degassed basaltic melt, we argue that the presence of fast-quenched limu o Pele in natural deposits is not diagnostic of volatile-driven explosive eruptions. This must be taken into account if submarine eruption dynamics are to be accurately inferred from the deposits and particles they produce.

  11. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  12. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y., E-mail: yzhang@superpower-inc.com [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Majkic, G.; Selvamanickam, V. [University of Houston, 4800 Calhoun Road, Houston, TX 77204 (United States)

    2012-02-15

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90 Degree-Sign to 180 Degree-Sign) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  13. Preparation of thin layers of BiSrCaCuO by method MOCVD

    International Nuclear Information System (INIS)

    Beran, P.; Stejskal, J.; Strejc, A.; Nevriva, M.; Sedmibudsky, D.; Leitner, J.

    1999-01-01

    Preparation of superconducting material on the basis mixed oxides of BiSrCaCuO by chemical vapour deposition (CVD) method is described. Surface morphology and concentration profiles of elements were analyzed by scanning electron microscope and microprobe. Phase of layers was analysed by X-ray diffraction (radiation of Cu kα ). Samples of thin layers were characterized by magnetic susceptibility in temperature interval 10 to 150 K. Obtained results confirm formation of superconducting phases Bi 2 Sr 2 Ca 1 Cu 2 O x and Bi 2 Sr 2 Xa 2 Cu 3 O x

  14. Cauliflower hillock formation through crystallite migration of SnO2 thin films prepared on alumina substrates by using MOCVD

    International Nuclear Information System (INIS)

    Choi, Gwangpyo; Ryu, Hyunwook; Lee, Woosun; Hong, Kwangjun; Shin, Dongcharn; Park, Jinseong; Seo, Yongjin; Akbar, Sheikh A.

    2003-01-01

    Tin-oxide thin films were deposited at 375 .deg. C on α-alumina substrates by using metalorganic chemical vapor deposition (MOCVD) process. A number of hillocks were formed on the film after annealing in air at 500 .deg. C for 30 min, but fewer hillocks were formed for annealing in N 2 . The hillocks on the film and the grains on the alumina substrate were composed of crystallites. The oxygen content and the binding energy after annealing in air came to close to values for the stoichiometric SnO 2 . There was no relationship between the film thickness and the binding energy shift, but the binding energy did change with the annealing atmosphere and the oxygen content. The cauliflower hillocks on the film seem to be formed by the continuous migration of crystallites from cauliflower grains on the substrate to release the stress due to the increased oxygen content and volume. A cauliflower hillock can be grown by continuous migration of crystallites from nearby grains to the hillock.

  15. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS{sub 2}) thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Hoepfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H. [Hahn-Meitner-Institut Berlin, Abteilung Solare Energetik, Berlin (Germany)

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ/mol over the temperature range from 250 to 400C. From 500 to 630C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe{sub 1-x}S) occurs at higher growth temperatures. The S/Fe ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 A/s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 A/s. Temperatures above 550C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 {mu}m

  16. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  17. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  18. Advanced characterization techniques of nonuniform indium distribution within InGaN/GaN heterostructures grown by MOCVD

    International Nuclear Information System (INIS)

    Lu, D.; Florescu, D.I.; Lee, D.S.; Ramer, J.C.; Parekh, A.; Merai, V.; Li, S.; Begarney, M.J.; Armour, E.A.; Gardner, J.J.

    2005-01-01

    Nonuniform indium distribution within InGaN/GaN single quantum well (SQW) structures with nanoscale islands grown by metalorganic chemical vapor deposition (MOCVD) have been characterized by advanced characterization techniques. Robinson backscattered electron (BSE) measurements show cluster-like BSE contrast of high brightness regions, which are not centered at small dark pits in a SQW structure of spiral growth mode. By comparing with the secondary electron (SE) images, the bright cluster areas from the BSE images were found to have higher indium content compared to the surrounding dark areas. Temperature dependant photoluminescence (PL) measurement shows typical ''S-shape'' curve, which shows good correlation with nonuniform indium distribution from BSE measurement. Optical evaluation of the samples show increased PL slope efficiency of the spiral mode SQW, which can be attributed to the presence of Indium inhomogeneities. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  20. Temperature-dependent Hall effect studies of ZnO thin films grown by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Roro, K T; Dangbegnon, J K; Sivaraya, S; Westraadt, J E; Neethling, J H; Leitch, A W R; Botha, J R; Kassier, G H

    2008-01-01

    The electrical properties of zinc oxide (ZnO) thin films of various thicknesses (0.3–4.4 µm) grown by metalorganic chemical vapour deposition on glass substrates have been studied by using temperature-dependent Hall-effect (TDH) measurements in the 18–300 K range. The high quality of the layers has been confirmed with x-ray diffraction, transmission electron microscopy, scanning electron microscopy and photoluminescence techniques. TDH measurements indicate the presence of a degenerate layer which significantly influences the low-temperature data. It is found that the measured mobility generally increases with increasing layer thickness, reaching a value of 120 cm 2 V −1 s −1 at room temperature for the 4.4 µm thick sample. The lateral grain size of the layers is also found to increase with thickness indicating a clear correlation between the size of the surface grains and the electrical properties of corresponding films. Theoretical fits to the Hall data suggest that the bulk conduction of the layers is dominated by a weakly compensated donor with activation energy in the 33–41 meV range and concentration of the order of 10 17 cm −3 , as well as a total acceptor concentration of mid-10 15 cm −3 . Grain boundary scattering is found to be an important limiting factor of the mobility throughout the temperature range considered

  1. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  2. Structure And Properties Of PVD Coatings Deposited On Cermets

    Directory of Open Access Journals (Sweden)

    Żukowska L.

    2015-06-01

    Full Text Available The main aim of the research is the investigation of the structure and properties of single-layer and gradient coatings of the type (Ti,AlN and Ti(C,N deposited by physical vapour deposition technology (PVD on the cermets substrate.

  3. Characterisation of Pristine and Recoated electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings on AISI M2 steel and WC-Co substrates

    International Nuclear Information System (INIS)

    Avelar-Batista, J.C.; Spain, E.; Housden, J.; Fuentes, G.G.; Rebole, R.; Rodriguez, R.; Montala, F.; Carreras, L.J.; Tate, T.J.

    2005-01-01

    This paper is focussed on the characterisation of electron beam evaporation plasma-assisted physical vapour deposition Cr-N coatings deposited on AISI M2 steel and hardmetal (K10) substrates in two different conditions: Pristine (i.e., coated) and Recoated (i.e., stripped and recoated). Analytical methods, including X-ray diffraction (XRD), scanning electron microscopy, scratch adhesion and pin-on-disc tests were used to evaluate several coating properties. XRD analyses indicated that both Pristine and Recoated coatings consisted of a mixture of hexagonal Cr 2 N and cubic CrN, regardless of substrate type. For the M2 steel substrate, only small differences were found in terms of coating phases, microstructure, adhesion, friction and wear coefficients between Pristine and Recoated. Recoated on WC-Co (K10) exhibited a less dense microstructure and significant inferior adhesion compared to Pristine on WC-Co (K10). The wear coefficient of Recoated on WC-Co was 100 times higher than those exhibited by all other specimens. The results obtained confirm that the stripping process did not adversely affect the Cr-N properties when this coating was deposited onto M2 steel substrates, but it is clear from the unsatisfactory tribological performance of Recoated on WC-Co that the stripping process is unsuitable for hardmetal substrates

  4. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  5. Synthesis of carbon-13 labelled carbonaceous deposits and their evaluation for potential use as surrogates to better understand the behaviour of the carbon-14-containing deposit present in irradiated PGA graphite

    Energy Technology Data Exchange (ETDEWEB)

    Payne, L., E-mail: liam.payne@bristol.ac.uk [Interface Analysis Centre, HH Wills Physics Laboratory, University of Bristol, BS8 1TL (United Kingdom); Walker, S.; Bond, G. [Centre for Materials Science, University of Central Lancashire, PR1 2HE (United Kingdom); Eccles, H. [John Tyndall Institute for Nuclear Research, School of Computing, Engineering and Physical Sciences, University of Central Lancashire, PR1 2HE (United Kingdom); Heard, P.J.; Scott, T.B. [Interface Analysis Centre, HH Wills Physics Laboratory, University of Bristol, BS8 1TL (United Kingdom); Williams, S.J. [Radioactive Waste Management, B587, Curie Avenue, Harwell Oxford, Didcot, OX11 0RH (United Kingdom)

    2016-03-15

    The present work has used microwave plasma chemical vapour deposition to generate suitable isotopically labelled carbonaceous deposits on the surface of Pile Grade A graphite for use as surrogates for studying the behaviour of the deposits observed on irradiated graphite extracted from UK Magnox reactors. These deposits have been shown elsewhere to contain an enhanced concentration of {sup 14}C compared to the bulk graphite. A combination of Raman spectroscopy, ion beam milling with scanning electron microscopy and secondary ion mass spectrometry were used to determine topography and internal morphology in the formed deposits. Direct comparison was made against deposits found on irradiated graphite samples trepanned from a Magnox reactor core and showed a good similarity in appearance. This work suggests that the microwave plasma chemical vapour deposition technique is of value in producing simulant carbon deposits, being of sufficiently representative morphology for use in non-radioactive surrogate studies of post-disposal behaviour of {sup 14}C-containing deposits on some irradiated Magnox reactor graphite.

  6. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition; Sistema RTP: uma tecnica poderosa para o monitoramento da formacao de nanotubos de carbono durante o processo por deposicao de vapor quimico

    Energy Technology Data Exchange (ETDEWEB)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero, E-mail: rochel@ufmg.b [Universidade Federal de Minas Gerais (DQ/UFMG), Belo Horizonte, MG (Brazil). Dept. de Quimica; Sapag, Karim [Universidade Nacional de San Luis (Argentina). Lab. de Ciencias de Superficies y Medios Porosos

    2010-07-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al{sub 2}O{sub 3} and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  7. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  8. Polycyclic aromatic hydrocarbon (PAH) deposition to and exchange at the air-water interface of Luhu, an urban lake in Guangzhou, China

    International Nuclear Information System (INIS)

    Li Jun; Cheng Hairong; Zhang Gan; Qi Shihua; Li Xiangdong

    2009-01-01

    Urban lakes are vulnerable to the accumulation of semivolatile organic compounds, such as PAHs from wet and dry atmospheric deposition. Little was reported on the seasonal patterns of atmospheric deposition of PAHs under Asian monsoon climate. Bulk (dry + wet) particle deposition, air-water diffusion exchange, and vapour wet deposition of PAHs in a small urban lake in Guangzhou were estimated based on a year-round monitoring. The total PAH particle deposition fluxes observed were 0.44-3.46 μg m -2 day -1 . The mean air-water diffusive exchange flux was 20.7 μg m -2 day -1 . The vapour deposition fluxes of PAHs ranged 0.15-8.26 μg m -2 day -1 . Remarkable seasonal variations of particulate PAH deposition, air-water exchange fluxes and vapour wet deposition were influenced by seasonal changes in meteorological parameters. The deposition fluxes were predominantly controlled by the precipitation intensity in wet season whereas by atmospheric concentration in dry season. - The PAH deposition fluxes were predominantly controlled by the precipitation intensity in wet season whereas by atmospheric concentration in dry season

  9. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  10. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  11. Phosphorus doping and deposition pressure effects on optical and electrical properties of polysilicon

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Abdelkrim, M.M.; Fathallah, M.; Mohammed-Brahim, T.; Rogel, R.

    2006-01-01

    The optical and electrical properties of amorphously deposited and then post-crystallized silicon films are studied as a function of the deposition pressure and the phosphorus doping. Amorphous silicon films are deposited in a high pressure regime by SAPCVD (Sub-Atmospheric Pressure Chemical Vapour Deposition) to study the effect of the deposition pressure. They are also deposited in a low pressure regime by LPCVD (Low Pressure Chemical Vapour Deposition) to study the effect of a low phosphorus doping. Both types of amorphous films are then crystallized in the solid phase at 600 deg. C. Using different optical and electrical characterization techniques, the beneficial effect of a high pressure as well as of a weak phosphorus doping on the decrease of the defect density is highlighted. These results give some ways to improve the quality of polysilicon enough to be used in photovoltaic or in thin film electronic devices

  12. Atmospheric pressure chemical vapour deposition of the nitrides and oxynitrides of vanadium, titanium and chromium

    International Nuclear Information System (INIS)

    Elwin, G.S.

    1999-01-01

    A study has been made into the atmospheric pressure chemical vapour deposition of nitrides and oxynitrides of vanadium, titanium and chromium. Vanadium tetrachloride, vanadium oxychloride, chromyl chloride and titanium tetrachloride have been used as precursors with ammonia, at different flow conditions and temperatures. Vanadium nitride, vanadium oxynitride, chromium oxynitride, titanium/vanadium nitride and titanium/chromium oxynitride have been deposited as thin films on glass. The APCVD reaction of VCl 4 and ammonia leads to films with general composition VN x O y . By raising the ammonia concentration so that it is in excess (0.42 dm 3 min -1 VCl 4 with 1.0 dm 3 min -1 NH 3 at 500 deg. C) a film has been deposited with the composition VN 0.8 O 0.2 . Further investigation discovered similar elemental compositions could be reached by deposition at 350 deg. C (0.42 dm 3 min -1 VCl 4 with 0.5 dm 3 min -1 NH 3 ), followed by annealing at 650 deg. C, and cooled under a flow of ammonia. Only films formed below 400 deg. C were found to contain carbon or chlorine ( 3 and ammonia also lead to films of composition VN x O y the oxygen to nitrogen ratios depending on the deposition conditions. The reaction Of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.2 dm 3 min -1 ) at 500 deg. C lead to a film of composition VN 0. 47O 1.06 . The reaction of VOCl 3 (0.42 dm 3 min -1 ) and ammonia (0.5 dm 3 min -1 ) at 650 deg. C lead to a film of composition VN 0.63 O 0.41 . The reaction of chromyl chloride with excess ammonia led to the formation of chromium oxide (Cr 2 O 3 ) films. Mixed metal films were prepared from the reactions of vanadium tetrachloride, titanium tetrachloride and ammonia to prepare V x Ti y N z and chromyl chloride, titanium tetrachloride and ammonia to form TiCr x O y N z . Both reactions produced the intended mixed coating but it was found that the vanadium / titanium nitride contained around 10 % vanadium whatever the conditions used. Oxygen contamination

  13. Modelling of MOCVD Reactor: New 3D Approach

    Science.gov (United States)

    Raj, E.; Lisik, Z.; Niedzielski, P.; Ruta, L.; Turczynski, M.; Wang, X.; Waag, A.

    2014-04-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  14. Modelling of MOCVD reactor: new 3D approach

    International Nuclear Information System (INIS)

    Raj, E; Lisik, Z; Niedzielski, P; Ruta, L; Turczynski, M; Wang, X; Waag, A

    2014-01-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  15. The role of magmas in the formation of hydrothermal ore deposits

    Science.gov (United States)

    Hedenquist, Jeffrey W.; Lowenstern, Jacob B.

    1994-01-01

    Magmatic fluids, both vapour and hypersaline liquid, are a primary source of many components in hydrothermal ore deposits formed in volcanic arcs. These components, including metals and their ligands, become concentrated in magmas in various ways from various sources, including subducted oceanic crust. Leaching of rocks also contributes components to the hydrothermal fluid—a process enhanced where acid magmatic vapours are absorbed by deeply circulating meteoric waters. Advances in understanding the hydrothermal systems that formed these ore deposits have come from the study of their active equivalents, represented at the surface by hot springs and volcanic fumaroles.

  16. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa2Cu3O7-δ coated conductor wires

    International Nuclear Information System (INIS)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L; Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V

    2009-01-01

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J c ) (Y,Sm) 1 Ba 2 Cu 3 O y (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 μm of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I c s) of up to 600 A/cm width (t = 2.8 μm, J c = 2.6 MA cm -2 , 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm) 2 O 3 nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J c in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO 2 nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm) 2 O 3 or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I c . There is an inconsistency between the measured J c and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with reproducibility and manufacturing yield.

  17. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  18. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  19. Broad Temperature Pinning Study of 15 mol.% Zr-Added (Gd, Y)-Ba-Cu-O MOCVD Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, AX; Khatri, N; Liu, YH; Majkic, G; Galstyan, E; Selvamanickam, V; Chen, YM; Lei, CH; Abraimov, D; Hu, XB; Jaroszynski, J; Larbalestier, D

    2015-06-01

    BaZrO3 (BZO) nanocolumns have long been shown to be very effective for raising the pinning force F-p of REBa2Cu3Ox (REBCO, where RE = rare earth) films at high temperatures and recently at low temperatures too. We have successfully incorporated a high density of BZO nanorods into metal organic chemical vapor deposited (MOCVD) REBCO coated conductors via Zr addition. We found that, compared to the 7.5% Zr-added coated conductor, dense BZO nanorod arrays in the 15% Zr-added conductor are effective over the whole temperature range from 77 K down to 4.2 K. We attribute the substantially enhanced J(c) at 30 K to the weak uncorrelated pinning as well as the strong correlated pinning. Meanwhile, by tripling the REBCO layer thickness to similar to 2.8 mu m, the engineering critical current density J(e) at 30 K exceeds J(e) of optimized Nb-Ti wires at 4.2 K.

  20. Parametric study of waste chicken fat catalytic chemical vapour deposition for controlled synthesis of vertically aligned carbon nanotubes

    Science.gov (United States)

    Suriani, A. B.; Dalila, A. R.; Mohamed, A.; Rosmi, M. S.; Mamat, M. H.; Malek, M. F.; Ahmad, M. K.; Hashim, N.; Isa, I. M.; Soga, T.; Tanemura, M.

    2016-12-01

    High-quality vertically aligned carbon nanotubes (VACNTs) were synthesised using ferrocene-chicken oil mixture utilising a thermal chemical vapour deposition (TCVD) method. Reaction parameters including vaporisation temperature, catalyst concentration and synthesis time were examined for the first time to investigate their influence on the growth of VACNTs. Analysis via field emission scanning electron microscopy and micro-Raman spectroscopy revealed that the growth rate, diameter and crystallinity of VACNTs depend on the varied synthesis parameters. Vaporisation temperature of 570°C, catalyst concentration of 5.33 wt% and synthesis time of 60 min were considered as optimum parameters for the production of VACNTs from waste chicken fat. These parameters are able to produce VACNTs with small diameters in the range of 15-30 nm and good quality (ID/IG 0.39 and purity 76%) which were comparable to those synthesised using conventional carbon precursor. The low turn on and threshold fields of VACNTs synthesised using optimum parameters indicated that the VACNTs synthesised using waste chicken fat are good candidate for field electron emitter. The result of this study therefore can be used to optimise the growth and production of VACNTs from waste chicken fat in a large scale for field emission application.

  1. Direct synthesis of solid and hollow carbon nanospheres over NaCl crystals using acetylene by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chandra Kishore, S.; Anandhakumar, S.; Sasidharan, M., E-mail: sasidharan.m@res.srmuniv.ac.in

    2017-04-01

    Highlights: • Hollow and solid carbon nanospheres were synthesized by CVD method. • NaCl was used as template for direct growth of carbon nanospheres. • Separation of NaCl from the mixture is made easy by dissolving in water. • The hollow carbon nanospheres exhibit high specific capacity in Li-ion batteries than the graphite anodes. - Abstract: Carbon nanospheres (CNS) with hollow and solid morphologies have been synthesised by a simple chemical vapour deposition method using acetylene as a carbon precursor. Sodium chloride (NaCl) powder as a template was used for the direct growth of CNS via facile and low-cost approach. The effect of various temperatures (500 °C, 600 °C and 700 °C) and acetylene flow rates were investigated to study the structural evolution on the carbon products. The purified CNS thus obtained was characterized by various physicochemical techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), Raman spectroscopy, and cyclicvoltametry. The synthesised hollow nanospheres were investigated as anode materials for Li-ion batteries. After 25 cycles of repeated charge/discharge cycles, the discharge and charge capacities were found to be 574 mAh/g and 570 mAh/g, respectively which are significantly higher than the commercial graphite samples.

  2. [Qualitative Determination of Organic Vapour Using Violet and Visible Spectrum].

    Science.gov (United States)

    Jiang, Bo; Hu, Wen-zhong; Liu, Chang-jian; Zheng, Wei; Qi, Xiao-hui; Jiang, Ai-li; Wang, Yan-ying

    2015-12-01

    Vapours of organic matters were determined qualitatively employed with ultraviolet-visible absorption spectroscopy. Vapours of organic matters were detected using ultraviolet-visible spectrophotometer employing polyethylene film as medium, the ultraviolet and visible absorption spectra of vegetable oil vapours of soybean oil, sunflower seed oil, peanut oil, rapeseed oil, sesame oil, cotton seed oil, tung tree seed oil, and organic compound vapours of acetone, ethyl acetate, 95% ethanol, glacial acetic acid were obtained. Experimental results showed that spectra of the vegetable oil vapour and the organic compound vapour could be obtained commendably, since ultra violet and visible spectrum of polyethylene film could be deducted by spectrograph zero setting. Different kinds of vegetable oils could been distinguished commendably in the spectra since the λ(max), λ(min), number of absorption peak, position, inflection point in the ultra violet and visible spectra obtained from the vapours of the vegetable oils were all inconsistent, and the vapours of organic compounds were also determined perfectly. The method had a good reproducibility, the ultraviolet and visible absorption spectra of the vapours of sunflower seed oil in 10 times determination were absolutely the same. The experimental result indicated that polyethylene film as a kind of medium could be used for qualitative analysis of ultraviolet and visible absorption spectroscopy. The method for determination of the vapours of the vegetable oils and organic compounds had the peculiarities of fast speed analysis, well reproducibility, accuracy and reliability and low cost, and so on. Ultraviolet and visible absorption spectrum of organic vapour could provide feature information of material vapour and structural information of organic compound, and provide a novel test method for identifying vapour of compound and organic matter.

  3. Occupational mercury vapour poisoning with a respiratory failure, pneumomediastinum and severe quadriparesis

    Directory of Open Access Journals (Sweden)

    Jakub Smiechowicz

    2017-02-01

    Full Text Available Objectives: Despite restrictions, mercury continues to pose a health concern. Mercury has the ability to deposit in most parts of the body and can cause a wide range of unspecific symptoms leading to diagnostic mistakes. Methods and results: We report the case of severe mercury vapour poisoning after occupational exposure in a chloralkali plant worker that resulted in life-threatening respiratory failure, pneumomediastinum and quadriparesis. Conclusions: Prolonged mechanical ventilation and treatment with penicillamine and spironolactone was used with successful outcome.

  4. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev

    2012-09-12

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  5. Stabilization of Leidenfrost vapour layer by textured superhydrophobic surfaces

    KAUST Repository

    Vakarelski, Ivan Uriev; Patankar, Neelesh A.; Marston, Jeremy; Chan, Derek Y C; Thoroddsen, Sigurdur T

    2012-01-01

    In 1756, Leidenfrost observed that water drops skittered on a sufficiently hot skillet, owing to levitation by an evaporative vapour film. Such films are stable only when the hot surface is above a critical temperature, and are a central phenomenon in boiling. In this so-called Leidenfrost regime, the low thermal conductivity of the vapour layer inhibits heat transfer between the hot surface and the liquid. When the temperature of the cooling surface drops below the critical temperature, the vapour film collapses and the system enters a nucleate-boiling regime, which can result in vapour explosions that are particularly detrimental in certain contexts, such as in nuclear power plants. The presence of these vapour films can also reduce liquid-solid drag. Here we show how vapour film collapse can be completely suppressed at textured superhydrophobic surfaces. At a smooth hydrophobic surface, the vapour film still collapses on cooling, albeit at a reduced critical temperature, and the system switches explosively to nucleate boiling. In contrast, at textured, superhydrophobic surfaces, the vapour layer gradually relaxes until the surface is completely cooled, without exhibiting a nucleate-boiling phase. This result demonstrates that topological texture on superhydrophobic materials is critical in stabilizing the vapour layer and thus in controlling-by heat transfer-the liquid-gas phase transition at hot surfaces. This concept can potentially be applied to control other phase transitions, such as ice or frost formation, and to the design of low-drag surfaces at which the vapour phase is stabilized in the grooves of textures without heating. © 2012 Macmillan Publishers Limited. All rights reserved.

  6. Roughness and compressive strength of FDM 3D printed specimens affected by acetone vapour treatment

    Science.gov (United States)

    Beniak, Juraj; Križan, Peter; Šooš, Ľubomír; Matúš, Miloš

    2018-01-01

    Rapid Prototyping technologies are the fastest growing technologies in the manufacturing of components and parts. There are many techniques which can be used with different materials and different purposes of produced part. Gradually, Rapid Prototyping systems have grown into Additive Manufacturing, because technology expansion brings faster production, improved manufactured components, and expanded palette of used materials. So now this techniques are also used for regular production of special parts, where is usual change of part design, where is necessary to produce variety of different designs and shapes. The following article deals with Fused Deposition Modelling (FDM) technology, the core of which is the manufacture models and components from thermoplastic polymers by deposition single fibres of semi-molten plastic material layer by layer. The article focuses on the results of research for testing of manufactured specimens by FDM technology. Components are modified by acetone vapour for surface smoothing. The purpose is to point out how the additional specimen treatment influence the strength properties. Presented paper shows realized experiments and measurements of compressive force on specimens and surface roughness which are influenced by acetone vapour treatment.

  7. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  8. Mechanical characteristics of ultra-long horizontal nanocantilevers grown by real-time feedback control on focused-ion-beam chemical vapour deposition

    International Nuclear Information System (INIS)

    Guo, Dengji; Warisawa, Shin’ichi; Ishihara, Sunao; Kometani, Reo

    2015-01-01

    Focused-ion-beam chemical vapour deposition (FIB-CVD) has been repeatedly proved to be a useful tool for the growth of three-dimensional (3D) micro- and nano-structures. The strategy of real-time feedback control on FIB-CVD was previously proposed and experimentally demonstrated to be effective for growing ultra-long horizontal nanocantilevers. To fabricate various nanoelectromechanical systems that consist of such types of nanocantilever structures, the mechanical characteristics of ultra-long horizontal nanocantilevers should be investigated. In this study, nanocantilevers with an overhang length of up to 35 μm were grown by using a 30 kV Ga + FIB, a beam current of 0.50 pA and phenanthrene (C 14 H 10 ) as the gas source to deposit a diamond-like carbon structure. The Young’s modulus of each nanocantilever was measured by bending the nanocantilever with a nanopillar whose Young’s modulus was known. The average density of each nanocantilever was calculated from the Young’s modulus and the measured resonant frequency. We found that the mechanical characteristics of each nanocantilever depended on the length of the nanocantilever if the strategy of real-time feedback control was applied in fabrication. The Young’s moduli and the averaged densities of the nanocantilevers with a length of 11 to 34 μm were found to be 86 to 254 GPa and 1950 to 5750 kg m −3 , respectively. With the increase of the overhang length, the Young’s modulus and the average density were found to gradually increase. (paper)

  9. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  10. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  11. An externally heated copper vapour laser

    International Nuclear Information System (INIS)

    Rochefort, P.A.; Sopchyshyn, F.C.; Selkirk, E.B.; Green, L.W.

    1993-08-01

    A pulsed Copper Vapour Laser (CVL), with a nominal 6 kHz repetition rate, was designed, build, and commissioned at Chalk River laboratories. The laser was required for Resonant Ionization Mass Spectroscopy (RIMS) experiments and for projects associated with Atomic Vapour laser Isotope Separation (AVLIS) studies. For the laser to operate, copper coupons position along the length of a ceramic tube must be heated sufficiently to create an appropriate vapour pressure. The AECL CVL uses an external heater element with a unique design to raise the temperature of the tube. The Cylindrical graphite heating element is shaped to compensate for the large radiation end losses of the laser tube. The use of an external heater saves the expensive high-current-voltage switching device from heating the laser tube, as in most commercial lasers. This feature is especially important given the intermittent usage typical of experimental research. As well, the heater enables better parametric control of the laser output when studying the lasing of copper (or other) vapour. This report outlines the lasing process in copper vapour, describes in detail all three major laser sub-systems: the laser body; the laser tube heater; the high voltage pulsed discharge; and, reports parametric measurements of the individual sub-systems and the laser system as a whole. Also included are normal operating procedures to heat up, run and shut down the laser

  12. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Yongzhong [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Chen, Jian, E-mail: wuhangzs@163.com [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Fu, Qingshan [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China); Li, Binghong [China Rubber Group Carbon Black Industry Research and Design Institute, 643000 Zigong, Sichuan (China); Zhang, Huazhi; Gong, Yong [Department of Materials and Chemistry Engineering, Sichuan University of Science and Engineering, 643000 Zigong, Sichuan (China)

    2015-01-01

    Graphical abstract: - Highlights: • HCNFs were synthesized by one-step CVD using cupric tartrate as a catalyst at temperature below 500 °C. • The synthesis of HCNFs is highly temperature-dependent at the synthesis temperature of 280–480 °C. • The addition of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system. - Abstract: Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry–differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C{sub 4}H{sub 4}CuO{sub 6} → Cu reaction occurs at ∼250–310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100–400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5–1 μm and fiber diameter of 100–200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system.

  13. Low-temperature synthesis and characterization of helical carbon fibers by one-step chemical vapour deposition

    International Nuclear Information System (INIS)

    Jin, Yongzhong; Chen, Jian; Fu, Qingshan; Li, Binghong; Zhang, Huazhi; Gong, Yong

    2015-01-01

    Graphical abstract: - Highlights: • HCNFs were synthesized by one-step CVD using cupric tartrate as a catalyst at temperature below 500 °C. • The synthesis of HCNFs is highly temperature-dependent at the synthesis temperature of 280–480 °C. • The addition of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system. - Abstract: Helical carbon fibers (HCNFs) were synthesized by one-step chemical vapour deposition using cupric tartrate as a catalyst at temperature below 500 °C. The bound rubber of natural rubber (NR)/HCNFs were also prepared in this study. The results of thermogravimetry–differential scanning calorimetry (TG/DSC) for cupric tartrate nanoparticles show that the transformation of C 4 H 4 CuO 6 → Cu reaction occurs at ∼250–310 °C. The characterization of scanning electron microscopy (SEM), transmission electron microscope (TEM), X-ray diffraction (XRD) and Raman spectrum for the synthesized products confirms that the synthesis of HCNFs is highly temperature-dependent. The straight fibers with the fiber diameter of 100–400 nm are obtained at 280 °C and HCNFs can be synthesized at higher temperature, with the coil diameter of 0.5–1 μm and fiber diameter of 100–200 nm at 380 °C, and the coil diameter of ∼100 nm and fiber diameter of ∼80 nm at 480 °C. The maximum of the bound-rubber content (37%) can be obtained with the addition of 100 wt.% HCNFs in NR, which indicates that the coiled configuration of HCNFs makes a noticeable contribution to the reinforcement of NR/CB system

  14. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} coated conductor wires

    Energy Technology Data Exchange (ETDEWEB)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V [SuperPower, Inc., Schenectady, NY 12304 (United States)

    2009-04-15

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J{sub c}) (Y,Sm){sub 1}Ba{sub 2}Cu{sub 3}O{sub y} (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 {mu}m of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I{sub c}s) of up to 600 A/cm width (t = 2.8 {mu}m, J{sub c} = 2.6 MA cm{sup -2}, 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm){sub 2}O{sub 3} nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J{sub c} in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO{sub 2} nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm){sub 2}O{sub 3} or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I{sub c}. There is an inconsistency between the measured J{sub c} and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with

  15. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  16. A simple experimental arrangement for measuring the vapour pressures and sublimation enthalpies by the Knudsen effusion method: Application to DNA and RNA bases

    International Nuclear Information System (INIS)

    Barros, A.L.F. de; Medina, A.; Zappa, F.; Pereira, J.M.; Bessa, E.; Martins, M.H.P.; Coelho, L.F.S.; Wolff, W.; Castro Faria, N.V. de

    2006-01-01

    We measured the vapour pressure of several DNA and RNA bases-uracil, adenine, guanine, thymine and cytosine-in the 300-450 K range. In each case the sample mass loss rate was measured as function of temperature with a simple setup consisting of a commercial film deposition system and a homemade oven. Afterwards vapour pressure values were extracted from these data using the Knudsen effusion method. Sublimation enthalpy values, obtained from vapour pressure data by applying the Clausius-Clapeyron equation, are in very good agreement with literature values. The results suggest that crystal-based film thickness monitors may be useful in on-line cross-section measurements, monitoring the gas target thickness. They also show the viability of using this oven for producing a biomolecular gas target

  17. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  18. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  19. Driving Down HB-LED Costs. Implementation of Process Simulation Tools and Temperature Control Methods of High Yield MOCVD Growth

    Energy Technology Data Exchange (ETDEWEB)

    Quinn, William [Veeco Process Equipment, Inc., Plainview, NY (United States)

    2012-04-30

    . Programmatically, improvements made in Phase I are applied to developments of Phase II when applicable. Phase three is the culmination of the individual tasks from both phases one and two applied to proposed production platforms. We selectively combine previously demonstrated tasks and other options to develop a high-volume production-worthy MOCVD system demonstrating >3x throughput, 1.3x capital efficiency, and 0.7x cost of ownership. In a parallel demonstration we validate the concept of an improved, larger deposition system which utilizes the predictive modeling of chemistry-based flow analysis and extensions of the improvements demonstrated on the current platforms. This validation includes the build and testing of a prototype version of the hardware and demonstration of 69% reduction in the cost of ownership. Also, in this phase we present a stand-alone project to develop a high-temperature system which improves source efficiency by 30% while concurrently increasing growth rate by 1.3x. The material quality is held to the same material quality specifications of our existing baseline processes. The merits of other line item tasks in phase three are discussed for inclusion on next-generation platforms.

  20. Electrografting and morphological studies of chemical vapour deposition grown graphene sheets modified by electroreduction of aryldiazonium salts

    International Nuclear Information System (INIS)

    Mooste, Marek; Kibena, Elo; Kozlova, Jekaterina; Marandi, Margus; Matisen, Leonard; Niilisk, Ahti; Sammelselg, Väino; Tammeveski, Kaido

    2015-01-01

    Highlights: • CVD-grown graphene sheets were electrografted with various aryldiazonium salts • Redox grafting was applied to form thick nitrophenyl films • The reduction of the released radicals was in evidence during the redox grafting • Multilayer formation on CVD graphene was confirmed by XPS and AFM measurements • Thickness of different aryl layers on CVD graphene varied from few to 30 nm - Abstract: This work focuses on investigating the electrografting of chemical vapour deposition (CVD) graphene electrodes grown onto Ni foil (Ni/Gra) with different diazonium salts (including azobenzene diazonium tetrafluoroborate, Fast Garnet GBC sulphate salt, Fast Black K salt, 4-bromobenzene diazonium tetrafluoroborate and 4-nitrobenzenediazonium tetrafluoroborate). Various grafting conditions (e.g. “normal” electrografting in the narrow potential range and redox grafting in the wider potential range) were used. The electrochemical grafting behaviour was similar for all diazonium compounds used, except for the 4-nitrobenzenediazonium tetrafluoroborate when redox grafting was applied. The X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy results confirmed the presence of the corresponding aryl layers on Ni/Gra surfaces. The formation of multilayers on Ni/Gra substrates was in evidence since the thickness of different aryl layers varied from few to 30 nm depending on the modification procedures as well as the diazonium compounds used and the XPS analysis revealed a peak at about 400 eV for all aryl-modified Ni/Gra samples suggesting the multilayer formation also through azo linkages

  1. Carbon structures formation in low current high voltage electrical discharge in hydrocarbon vapours

    International Nuclear Information System (INIS)

    Sobczyk, A T; Jaworek, A

    2011-01-01

    The properties of carbon fibers and other carbon structures produced from hydrocarbon vapours decomposed in electrically generated plasma at atmospheric pressure are studied in this paper. The electrical discharge was generated between a stainless steel needle and a plate made of nickel alloy. The carbon fiber has grown at the tip of the needle electrode, while other microflower-like deposits were built at the plate. The physical properties of carbon fibers were investigated by SEM, Raman spectroscopy, XRD, and EDS methods.

  2. Atomic origins of water-vapour-promoted alloy oxidation.

    Science.gov (United States)

    Luo, Langli; Su, Mao; Yan, Pengfei; Zou, Lianfeng; Schreiber, Daniel K; Baer, Donald R; Zhu, Zihua; Zhou, Guangwen; Wang, Yanting; Bruemmer, Stephen M; Xu, Zhijie; Wang, Chongmin

    2018-05-07

    The presence of water vapour, intentional or unavoidable, is crucial to many materials applications, such as in steam generators, turbine engines, fuel cells, catalysts and corrosion 1-4 . Phenomenologically, water vapour has been noted to accelerate oxidation of metals and alloys 5,6 . However, the atomistic mechanisms behind such oxidation remain elusive. Through direct in situ atomic-scale transmission electron microscopy observations and density functional theory calculations, we reveal that water-vapour-enhanced oxidation of a nickel-chromium alloy is associated with proton-dissolution-promoted formation, migration, and clustering of both cation and anion vacancies. Protons derived from water dissociation can occupy interstitial positions in the oxide lattice, consequently lowering vacancy formation energy and decreasing the diffusion barrier of both cations and anions, which leads to enhanced oxidation in moist environments at elevated temperatures. This work provides insights into water-vapour-enhanced alloy oxidation and has significant implications in other material and chemical processes involving water vapour, such as corrosion, heterogeneous catalysis and ionic conduction.

  3. Vapour pressure of trideuterioammonia

    Energy Technology Data Exchange (ETDEWEB)

    Calado, J.C.G.; Lopes, J.N.C.; Rebelo, L.P.N. (Instituto Superior Tecnico, Lisbon (Portugal). Centro de Quimica Estrutural)

    1992-09-01

    The H-to-D vapour-pressure isotope effect in liquid ammonia has been measured at 62 temperatures between 228 K and 260 K. The vapour pressures, corrected to 100 per cent nuclidic purity, have been fitted to the equation: T ln r = A+B/T+CT, where r is the vapour-pressure ratio p(NH[sub 3])/p(ND[sub 3]). The fit yielded the parameters: A = -8.22508 K, B = 12338.2 K[sup 2], and C = -0.05544. Comparisons with the results of other authors were made in order to clarify some discrepancies found in the literature. Our values are in accord with the previous results of King et al. and an extrapolation of the fitted equation down to the triple-point temperature gave good agreement with the published results. The fitted equation was used in conjunction with the Clapeyron equation to calculate the difference in the molar enthalpies of vaporization between NH[sub 3] and ND[sub 3]. At T = 230 K that difference is -846 J.mol[sup -1] decreasing to -747 J.mol[sup -1] at 260 K. (author).

  4. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  5. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    Science.gov (United States)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  6. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  7. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Chung, S J; Lee, Y S; Suh, E-K; Senthil Kumar, M; An, M H

    2010-01-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  8. The volatile pivalates of Y, Ba and Cu as prospective precursors for metal-organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iljina, E. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Korjeva, A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Dunaeva, K. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-04-15

    The volatile pivalates of Y, Ba and Cu were synthesized and characterized by chemical and thermogravimetric analysis, IR spectroscopy, X-ray diffraction and mass spectrometry. The volatilities of metal pivalates was studied; the vapour pressures, thermodynamic characteristics and rates of sublimation were investigated. The volatile pivalates of Y, Ba and Cu are new prospective accessible compounds. (orig.)

  9. Autonomous Chemical Vapour Detection by Micro UAV

    Directory of Open Access Journals (Sweden)

    Kent Rosser

    2015-12-01

    Full Text Available The ability to remotely detect and map chemical vapour clouds in open air environments is a topic of significant interest to both defence and civilian communities. In this study, we integrate a prototype miniature colorimetric chemical sensor developed for methyl salicylate (MeS, as a model chemical vapour, into a micro unmanned aerial vehicle (UAV, and perform flights through a raised MeS vapour cloud. Our results show that that the system is capable of detecting MeS vapours at low ppm concentration in real-time flight and rapidly sending this information to users by on-board telemetry. Further, the results also indicate that the sensor is capable of distinguishing “clean” air from “dirty”, multiple times per flight, allowing us to look towards autonomous cloud mapping and source localization applications. Further development will focus on a broader range of integrated sensors, increased autonomy of detection and improved engineering of the system.

  10. Electrical Behaviour of Chitosan-Silver Nanocomposite in Presence of Water Vapour

    Directory of Open Access Journals (Sweden)

    Bal Yadav

    2017-04-01

    Full Text Available This paper presents the synthesis, characterization of the nanocomposite of silver and chitosan polymer composite reinforced by cellulose fibre and its electrical behaviour in presence of water vapour. The coated paper has been characterized by XRD, IR, SEM and EDX techniques. The size of silver nanoparticles is found to be around 9 nm and deposited uniformly. Chitosan, as well as cellulose, contain a hydrogen attached to electronegative nitrogen and oxygen. This gives a favourable environment for the formation of hydrogen bonds. IR peaks of the composite infer the intermolecular hydrogen bonding between the two constituents. The SEM pictures show that the coating of the fibres with nanoparticles is quite uniform. EDX analysis shows that the coated filter paper has sufficient amount of silver along with carbon and oxygen. The coated paper shows good sensitivity towards humidity. It gives excellent linearity in response with a concentration of water vapour after heat treatment of composite at 130 °C. The sensitivity of the sensor is 0.8 MΩ per unit of relative humidity. Sensing properties originate from protonic conductivity from adsorbed water molecule.

  11. Effects of Cd{sub 1-x}Zn{sub x}S alloy composition and post-deposition air anneal on ultra-thin CdTe solar cells produced by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, A.J., E-mail: Andrew.J.Clayton@Swansea.ac.uk [Centre for Solar Energy Research, College of Engineering, Swansea University, OpTIC, St. Asaph, LL17 0JD (United Kingdom); Baker, M.A.; Babar, S.; Grilli, R. [The Surface Analysis Laboratory, Department of Mechanical Engineering Sciences, University of Surrey, Guildford, GU2 7XH (United Kingdom); Gibson, P.N. [Institute for Health and Consumer Protection, Joint Research Centre of the European Commission, 21027, Ispra, VA (Italy); Kartopu, G.; Lamb, D.A. [Centre for Solar Energy Research, College of Engineering, Swansea University, OpTIC, St. Asaph, LL17 0JD (United Kingdom); Barrioz, V. [Engineering and Environment, Department of Physics and Electrical Engineering, Northumbria University, Newcastle, NE1 8ST (United Kingdom); Irvine, S.J.C. [Centre for Solar Energy Research, College of Engineering, Swansea University, OpTIC, St. Asaph, LL17 0JD (United Kingdom)

    2017-05-01

    Ultra-thin CdTe:As/Cd{sub 1-x}Zn{sub x}S photovoltaic solar cells with an absorber thickness of 0.5 μm were deposited by metal-organic chemical vapour deposition on indium tin oxide coated boro-aluminosilicate substrates. The Zn precursor concentration was varied to compensate for Zn leaching effects after CdCl{sub 2} activation treatment. Analysis of the solar cell composition and structure by X-ray photoelectron spectroscopy depth profiling and X-ray diffraction showed that higher concentrations of Zn in the Cd{sub 1-x}Zn{sub x}S window layer resulted in suppression of S diffusion across the CdTe/Cd{sub 1-x}Zn{sub x}S interface after CdCl{sub 2} activation treatment. Excessive Zn content in the Cd{sub 1-x}Zn{sub x}S alloy preserved the spectral response in the blue region of the solar spectrum, but increased series resistance for the solar cells. A modest increase in the Zn content of the Cd{sub 1-x}Zn{sub x}S alloy together with a post-deposition air anneal resulted in an improved blue response and an enhanced open circuit voltage and fill factor. This device yielded a mean efficiency of 8.3% over 8 cells (0.25 cm{sup 2} cell area) and best cell efficiency of 8.8%. - Highlights: • CdCl{sub 2} anneal treatment resulted in S diffusing to the back contact. • High Zn levels created mixed cubic/hexagonal structure at the p-n junction. • Increased Zn in Cd{sub 1-x}Zn{sub x}S supressed S diffusion into CdTe. • Device V{sub oc} was enhanced overall with an additional back surface air anneal.

  12. Review of analytical techniques to determine the chemical forms of vapours and aerosols released from overheated fuel

    International Nuclear Information System (INIS)

    Bowsher, B.R.; Nichols, A.L.

    1989-12-01

    A comprehensive review has been undertaken of appropriate analytical techniques to monitor and measure the chemical effects that occur in large-scale tests designed to study severe reactor accidents. Various methods have been developed to determine the chemical forms of the vapours, aerosols and deposits generated during and after such integral experiments. Other specific techniques have the long-term potential to provide some of the desired data in greater detail, although considerable efforts are still required to apply these techniques to the study of radioactive debris. Such in-situ and post-test methods of analysis have been also assessed in terms of their applicability to the analysis of samples from the Phebus-FP tests. The recommended in-situ methods of analysis are gamma-ray spectroscopy, potentiometry, mass spectrometry, and Raman/UV-visible absorption spectroscopy. Vapour/aerosol and deposition samples should also be obtained at well-defined time intervals during each experiment for subsequent post-test analysis. No single technique can provide all the necessary chemical data from these samples, and the most appropriate method of analysis involves a complementary combination of autoradiography, AES, IR, MRS, SEMS/EDS, SIMS/LMIS, XPS and XRD

  13. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui; Alotaibi, Hamad S.; Sun, Haiding; Lin, Ronghui; Guo, Wenzhe; Torres-Castanedo, Carlos G.; Liu, Kaikai; Galan, Sergio V.; Li, Xiaohang

    2018-01-01

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  14. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui

    2018-02-23

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  15. Methods and systems for fabricating high quality superconducting tapes

    Science.gov (United States)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  16. Capacitive-discharge-pumped copper bromide vapour laser

    International Nuclear Information System (INIS)

    Sukhanov, V B; Fedorov, V F; Troitskii, V O; Gubarev, F A; Evtushenko, Gennadii S

    2007-01-01

    A copper bromide vapour laser pumped by a high-frequency capacitive discharge is developed. It is shown that, by using of a capacitive discharge, it is possible to built a sealed off metal halide vapour laser of a simple design allowing the addition of active impurities into the working medium. (letters)

  17. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  18. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  19. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  20. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  1. Characterization of Al{sub x}Ga{sub 1-x}As/GaAs heterostructures for single quantum wells grown by a solid arsenic MOCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, R. [Universidad Politecnica de Pachuca, Km. 20, Rancho Luna, Ex-Hacienda de Santa Barbara, Municipio de Zempoala, Hidalgo 43830 (Mexico); Diaz-Reyes, J., E-mail: jdiazr2001@yahoo.co [Instituto Politecnico Nacional, Centro de Investigacion en Biotecnologia Aplicada, CIBA-IPN, Ex Hacienda de San Juan Molino, Km. 1.5. Tepetitla, Tlaxcala 90700 (Mexico); Galvan-Arellano, M.; Pena-Sierra, R. [CINVESTAV-IPN, Depto. de Ing. Electrica, SEES. Apdo. 14-740, Mexico, D.F. 07000 (Mexico)

    2011-06-15

    This work presents the results of the growth and characterization of Al{sub x}Ga{sub 1-x}As/GaAs multilayer structures obtained in a metallic-arsenic-based-MOCVD system. The main goal is to explore the ability of the growth system to grow high quality multilayer structures like quantum wells. The use of metallic arsenic could introduce important differences in the growth process due to the absence of the hydride group V precursor (AsH{sub 3}), which manifests in the electrical and optical characteristics of both GaAs and Al{sub x}Ga{sub 1-x}As layers. The characterization of these epilayers and structures was performed using low-temperature photoluminescence, Hall effect measurements, X-ray diffraction, Raman spectroscopy, secondary ion mass spectroscopy (SIMS) and Atomic Force Microscopy (AFM). - Research highlights: {yields} This work is reported the growth of AlxGa1-xAs/GaAs/AlxGa1-xAs heterostructures by a solid arsenic based MOCVD system. {yields} The results obtained with this system are comparable with those obtained with the traditional arsine based growth system. {yields} The main limitation of the alternative MOCVD system is related to the lack of monoatomic hydrogen on the growth surface that acts modifying the surface kinetics and enhancing the carbon incorporation. {yields} The experimental results indicate that it can be grown AlxGa1-xAs using elemental arsenic by MOCVD, which can be used to optoelectronic devices.

  2. Vapour pressure isotope effects in liquid hydrogen chloride

    Energy Technology Data Exchange (ETDEWEB)

    Lopes, J.N.C.; Calado, J.C.G. (Instituto Superior Tecnico, Lisbon (Portugal)); Jancso, Gabor (Hungarian Academy of Sciences, Budapest (Hungary). Central Research Inst. for Physics)

    1992-08-10

    The difference between the vapour pressures of HCl and DCl has been measured over the temperature range 170-203 K by a differential manometric technique in a precision cryostat. In this range the vapour pressure of HCl is higher than that of DCl by 3.2% at 170 K, decreasing to 0.9% at 200 K. The reduced partition function ratios f[sub l]/f[sub g] derived from the vapour pressure data can be described by the equation ln(f[sub l]/f[sub g]) = (3914.57[+-]10)/T[sup 2] - (17.730[+-]0.055)/T. The experimentally observed H-D vapour pressure isotope effect, together with the values on the [sup 35]Cl-[sup 37]Cl isotope effect available in the literature, is interpreted in the light of the statistical theory of isotope effects in condensed systems by using spectroscopic data of the vapour and liquid phases. The results indicate that the rotation in liquid hydrogen chloride is hindered. Temperature-dependent force constants for the hindered translational and rotational motions were invoked in order to obtain better agreement between the model calculation and experiment. (author).

  3. Methods and systems for fabricating high quality superconducting tapes

    Energy Technology Data Exchange (ETDEWEB)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  4. Networks of ultra-fine Ag nanocrystals in a Teflon AF (registered) matrix by vapour phase e-beam-assisted deposition

    International Nuclear Information System (INIS)

    Biswas, A; Bayer, I S; Marken, B; Pounds, T D; Norton, M G

    2007-01-01

    We have fabricated nanocomposite thin films comprising silver (Ag) nanoparticles dispersed in a Teflon AF (registered) polymer matrix using electron-beam-assisted physical vapour deposition. Four different Ag nanoparticle volume fillings (20%, 35%, 70% and 75%) were achieved by varying the relative metal-polymer evaporation rates with the formation of highly crystalline Ag nanoparticles regardless of the filling ratio. The present fabrication technique allowed full control over dispersion uniformity of nanoparticles in the polymer network. At 20% and 35% metal volume fillings, the nanocomposite film morphology consists of a uniformly dispersed assembly of equiaxed isolated Ag nanoparticles. At higher metal volume fractions the nanocomposite structures displayed two different and unique Ag nanoparticle arrangements within the polymer matrix. In particular, at 70% metal filling, the formation of irregularly shaped clusters of individually assembled nanocrystals was observed. At a slightly higher volume filling (75%), larger irregularly shaped Ag nanocrystals that appeared to be the result of coalescence and grain growth were observed. Finally, a composite theory developed by Tandon and Weng was used to estimate various elastic properties of the nanocomposite films. At high metal filling, the reinforcing effect of the Ag nanoparticles was reflected as approximately a sixfold increase in the elastic modulus compared to the virgin polymer film. Possible applications of such ultra-fine metal nanoparticles networks are discussed

  5. In situ synchrotron X-ray studies during metal-organic chemical vapor deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, Carol [Northern Illinois Univ., DeKalb, IL (United States); Argonne National Lab., Argonne, IL (United States); Highland, Matthew J.; Perret, Edith; Fuoss, Paul H.; Streiffer, Stephen K.; Stephenson, G. Brian [Argonne National Lab., Argonne, IL (United States); Richard, Marie-Ingrid [Universite Paul Cezanne Aix-Marseille, Marseille (France)

    2012-07-01

    In-situ, time-resolved techniques provide valuable insight into the complex interplay of surface structural and chemical evolution occurring during materials synthesis and processing of semiconductors. Our approach is to observe the evolution of surface structure and morphology at the atomic scale in real-time during metal organic vapor phase deposition (MOCVD) by using grazing incidence x-ray scattering and X-ray fluorescence, coupled with visible light scattering. Our vertical-flow MOCVD chamber is mounted on a 'z-axis' surface diffractometer designed specifically for these studies of the film growth, surface evolution and the interactions within a controlled growth environment. These techniques combine the ability of X-rays to penetrate a complex environment for measurements during growth and processing, with the sensitivity of surface scattering techniques to atomic and nanoscale structure. In this talk, we outline our program and discuss examples from our in-situ and real-time X-ray diffraction and fluorescence studies of InN, GaN, and InGaN growth on GaN(0001).

  6. Medical cannabis use in Canada: vapourization and modes of delivery.

    Science.gov (United States)

    Shiplo, Samantha; Asbridge, Mark; Leatherdale, Scott T; Hammond, David

    2016-10-29

    The mode of medical cannabis delivery-whether cannabis is smoked, vapourized, or consumed orally-may have important implications for its therapeutic efficacy and health risks. However, there is very little evidence on current patterns of use among Canadian medical cannabis users, particularly with respect to modes of delivery. The current study examined modes of medical cannabis delivery following regulatory changes in 2014 governing how Canadians access medical cannabis. A total of 364 approved adult Canadian medical cannabis users completed an online cross-sectional survey between April and June 2015. The survey examined patterns of medical cannabis use, modes of delivery used, and reasons for use. Participants were recruited through a convenience sample from nine Health Canada licensed producers. Using a vapourizer was the most popular mode of delivery for medical cannabis (53 %), followed by smoking a joint (47 %). The main reason for using a vapourizer was to reduce negative health consequences associated with smoking. A majority of current vapourizer users reported using a portable vapourizer (67.2 %), followed by a stationary vapourizer (41.7 %), and an e-cigarette or vape pen (19.3 %). Current use of a vapourizer was associated with fewer respiratory symptoms (AOR = 1.28, 95 % CI 1.05-1.56, p = 0.01). The findings suggest an increase in the popularity of vapourizers as the primary mode of delivery among approved medical users. Using vapourizers has the potential to prevent some of the adverse respiratory health consequences associated with smoking and may serve as an effective harm reduction method. Monitoring implications of such current and future changes to medical cannabis regulations may be beneficial to policymakers.

  7. Preparation of hydrosol suspensions of elemental and core–shell nanoparticles by co-deposition with water vapour from the gas-phase in ultra-high vacuum conditions

    International Nuclear Information System (INIS)

    Binns, Chris; Prieto, Pilar; Baker, Stephen; Howes, Paul; Dondi, Ruggero; Burley, Glenn; Lari, Leonardo; Kröger, Roland; Pratt, Andrew; Aktas, Sitki; Mellon, John K.

    2012-01-01

    We report a new method to produce liquid suspensions of nanoparticles by co-deposition with water vapour from the gas-phase in ultra-high vacuum (UHV) conditions. The water is injected from outside the vacuum as a molecular beam onto a substrate maintained at 77 K and forms an ice layer with a UHV vapour pressure. Molecular dynamics simulations confirm that the nanoparticles are soft-landed close to the surface of the growing ice layer. We show that the un-agglomerated size distribution within the liquid is similar to the gas-phase size distribution and demonstrate that the inclusion of surfactants in the injected water prevents agglomeration. The method allows the flexibility and tight size control available with gas-phase production methods to be applied to making nanoparticle suspensions with any desired properties. This is important for practical applications, especially in medicine. We have extended the method to include core–shell nanoparticles, in which there is flexible control over the core size and shell thickness and free choice of the material in either. Here, we report the production of suspensions of Cu, Ag and Au elemental nanoparticles and Fe-Au and Fe-Fe-oxide core–shell nanoparticles with diameters in the range 5–15 nm. We demonstrate the power of the method in practical applications in the case of Fe-Fe-oxide nanoparticles, which have a specific absorption rate of an applied oscillating magnetic field that is significantly higher than available Fe-oxide nanoparticle suspensions and the highest yet reported. These will thus have a very high-performance in the treatment of tumours by magnetic nanoparticle hyperthermia.

  8. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  9. Alloying, co-doping, and annealing effects on the magnetic and optical properties of MOCVD-grown Ga1-xMn xN

    International Nuclear Information System (INIS)

    Kane, Matthew H.; Strassburg, Martin; Asghar, Ali; Fenwick, William E.; Senawiratne, Jayantha; Song, Qing; Summers, Christopher J.; Zhang, Z. John; Dietz, Nikolaus; Ferguson, Ian T.

    2006-01-01

    Recent theoretical work for Ga 1-x Mn x N predicts ferromagnetism in this materials system with Curie temperatures above room temperature. Ferromagnetic behavior observed in Ga 1-x Mn x N is still controversial, as there are conflicting experimental reports owing to the disparity in crystalline quality and phase purity of Ga 1-x Mn x N produced by different methods. In this work, metal-organic chemical vapor deposition (MOCVD) has been used to grow high-quality epitaxial films of Ga 1-x Mn x N of varying thickness and manganese doping levels using Cp 2 Mn as the Mn source. Crystalline quality and phase purity were determined by high-resolution X-ray diffraction, indicating that no macroscopic second phases are formed. Atomic force microscopy revealed MOCVD-like step flow growth patterns and a mean surface roughness of 0.378 nm in optimally grown films, which is close to that from the as-grown template layer of 0.330 nm. No change in the growth mechanism and morphology with Mn incorporation is observed. A uniform Mn concentration in the epitaxial layers is confirmed by secondary ion mass spectroscopy. SQUID measurements showed an apparent room temperature ferromagnetic hysteresis with saturation magnetizations of over 2 μ B /Mn at x = 0.008, which decreases with increasing Mn incorporation. Upon high-temperature annealing, numerous changes are observed in these properties, including an increase in surface roughness due to surface decomposition and a large decrease in the magnetic signature. A similar decrease in the magnetic signature is observed upon co-doping with the shallow donor silicon during the growth process. These results demonstrate the critical importance of controlling the Fermi level relative to the Mn 2+/3+ acceptor level in Ga 1-x Mn x N in order to achieve strong ferromagnetism

  10. Beam-profile monitor using a sodium-vapour

    CERN Multimedia

    1972-01-01

    Beam-profile monitor using a sodium-vapour curtain at 45 degrees to the ISR beam in Ring I (sodium generator is in white cylinder just left of centre). Electrons produced by ionization of the sodium vapour give an image of the beam on a fluorescent screen that is observed by a TV camera (at upper right).

  11. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  12. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  13. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  14. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  15. Medical cannabis use in Canada: vapourization and modes of delivery

    Directory of Open Access Journals (Sweden)

    Samantha Shiplo

    2016-10-01

    Full Text Available Abstract Background The mode of medical cannabis delivery—whether cannabis is smoked, vapourized, or consumed orally—may have important implications for its therapeutic efficacy and health risks. However, there is very little evidence on current patterns of use among Canadian medical cannabis users, particularly with respect to modes of delivery. The current study examined modes of medical cannabis delivery following regulatory changes in 2014 governing how Canadians access medical cannabis. Methods A total of 364 approved adult Canadian medical cannabis users completed an online cross-sectional survey between April and June 2015. The survey examined patterns of medical cannabis use, modes of delivery used, and reasons for use. Participants were recruited through a convenience sample from nine Health Canada licensed producers. Results Using a vapourizer was the most popular mode of delivery for medical cannabis (53 %, followed by smoking a joint (47 %. The main reason for using a vapourizer was to reduce negative health consequences associated with smoking. A majority of current vapourizer users reported using a portable vapourizer (67.2 %, followed by a stationary vapourizer (41.7 %, and an e-cigarette or vape pen (19.3 %. Current use of a vapourizer was associated with fewer respiratory symptoms (AOR = 1.28, 95 % CI 1.05–1.56, p = 0.01. Conclusions The findings suggest an increase in the popularity of vapourizers as the primary mode of delivery among approved medical users. Using vapourizers has the potential to prevent some of the adverse respiratory health consequences associated with smoking and may serve as an effective harm reduction method. Monitoring implications of such current and future changes to medical cannabis regulations may be beneficial to policymakers.

  16. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  17. Deposition of copper coatings in a magnetron with liquid target

    Energy Technology Data Exchange (ETDEWEB)

    Tumarkin, A. V., E-mail: sanyahrustal@mail.ru; Kaziev, A. V.; Kolodko, D. V.; Pisarev, A. A.; Kharkov, M. M.; Khodachenko, G. V. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) (Russian Federation)

    2015-12-15

    Copper coatings were deposited on monocrystalline Si substrates using a magnetron discharge with a liquid cathode in the metal vapour plasma. During the deposition, the bias voltage in the range from 0 V to–400 V was applied to the substrate. The prepared films were investigated by a scanning electron microscope, and their adhesive properties were studied using a scratch tester. It was demonstrated that the adhesion of the deposited films strongly depends on the bias voltage and varies in a wide range.

  18. Ethanol vapour induced dilated cardiomyopathy in chick embryos

    International Nuclear Information System (INIS)

    Kamran, K.; Khan, M.Y.; Minhas, L.A.

    2013-01-01

    Objective: To study the effects of ethanol vapour inhalation on the heart chambers of chick embryo. Methods: The case-control study was conducted at the College of Physicians and Surgeons Pakistan regional centre in Islamabad from January to October 2007. Both experimental and control groups were divided into three sub-groups each, based on the day of the sacrifice. Each group was dissected on day 7, day 10 and day 22 or hatching whichever was earlier. The experimental sub-groups sacrificed on day 7, day 10 and on hatching, were exposed to ethanol vapours till day 6, 9 and 9 of incubation respectively. The diameter of all 4 chambers was measured in experimental hearts and compared with age-matched controls. SPSS 10 was used for statistical analysis. Results: Ethanol vapour exposure caused widening of all heart chambers in the experimental chick embryos sacrificed on day 7 and day 10 compared to the controls. The chambers of newly hatched chick hearts showed dilatation in all the chambers except the left ventricle. Conclusion: Ethanol vapour exposure during development affects the heart, resulting in the widening of all heart chambers. The exposure is as dangerous as drinking alcohol. Alcohol vapour exposure during development leads to progressive dilatation in different heart chambers, producing dilated cardiomyopathy. (author)

  19. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Electrical characterization of low temperature deposited oxide films ...

    Indian Academy of Sciences (India)

    Unknown

    electronics on the same substrate (Water and Chu 2002). Plasma enhanced chemical vapour deposition (PECVD) .... sion in depletion region is due to the presence of inter- face traps. The total capacitance in inversion ... The conductance technique is used to deter- mine the interface state density throughout the depletion.

  1. InGaN/GaN LEDs optical output efficiency enhancement based on AFM surface morphology studies of the constituent layers

    Energy Technology Data Exchange (ETDEWEB)

    Florescu, D.I.; Ramer, J.C.; Merai, V.N.; Parekh, A.; Lu, D.; Lee, D.S.; Armour, E.A. [Veeco TurboDisc Operations, 394 Elizabeth Avenue, Somerset, NJ 08873 (United States)

    2005-05-01

    For GaN-based light emitting diodes (LEDs), the growth mechanism and interface roughness of the n-contact, active region, and p-contact layers are of vital importance for achieving superior optical and electrical characteristics of such devices. Nanoscale range surface morphology is one of the key parameters actively employed to developing high optical efficiency applications. In this study, we illustrate the use of atomic force microscopy to investigate and optimise the surface morphology of (a) sapphire substrates and (b) metalorganic chemical vapour deposition (MOCVD) grown InGaN/GaN LED constituent layers (i.e., n-GaN, InGaN active region, and p-GaN). Several optimal cases are presented and discussed, where based on the surface morphology findings an improved selection of (a) substrates and (b) MOCVD growth parameters was achieved leading to an overall enhancement (over 2 times) of the optical output efficiency of these devices. Applying the principles and observations reported, a thermally robust 465 nm multiple quantum well LED with an unpackaged chip-level power output in the 4.0-5.0 mW range and forward voltage <3.2 V at 20 mA was consistently achieved. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. No sodium in the vapour plumes of Enceladus.

    Science.gov (United States)

    Schneider, Nicholas M; Burger, Matthew H; Schaller, Emily L; Brown, Michael E; Johnson, Robert E; Kargel, Jeffrey S; Dougherty, Michele K; Achilleos, Nicholas A

    2009-06-25

    The discovery of water vapour and ice particles erupting from Saturn's moon Enceladus fuelled speculation that an internal ocean was the source. Alternatively, the source might be ice warmed, melted or crushed by tectonic motions. Sodium chloride (that is, salt) is expected to be present in a long-lived ocean in contact with a rocky core. Here we report a ground-based spectroscopic search for atomic sodium near Enceladus that places an upper limit on the mixing ratio in the vapour plumes orders of magnitude below the expected ocean salinity. The low sodium content of escaping vapour, together with the small fraction of salt-bearing particles, argues against a situation in which a near-surface geyser is fuelled by a salty ocean through cracks in the crust. The lack of observable sodium in the vapour is consistent with a wide variety of alternative eruption sources, including a deep ocean, a freshwater reservoir, or ice. The existing data may be insufficient to distinguish between these hypotheses.

  3. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  4. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  5. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    International Nuclear Information System (INIS)

    Chen, Z; Kametani, F; Larbalestier, D C; Chen, Y; Xie, Y; Selvamanickam, V

    2009-01-01

    We have made extensive low temperature and high field evaluations of a recent 2.1 μm thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm) 2 O 3 nanoprecipitates, which are self-aligned in planes tilted ∼7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J c values of ∼3.1 MA cm -2 at 77 K and ∼43 MA cm -2 at 4.2 K, and by a strongly enhanced irreversibility field H irr , which reaches that of Nb 3 Sn (∼28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J c values are ∼15% of the depairing current density J d , much the highest of any superconductor suitable for magnet construction.

  6. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z; Kametani, F; Larbalestier, D C [National High Magnetic Field Laboratory, Florida State University, Tallahassee, FL 32310 (United States); Chen, Y; Xie, Y; Selvamanickam, V [SuperPower Incorporated, Schenectady, NY 12304 (United States)], E-mail: zhijun@asc.magnet.fsu.edu

    2009-05-15

    We have made extensive low temperature and high field evaluations of a recent 2.1 {mu}m thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm){sub 2}O{sub 3} nanoprecipitates, which are self-aligned in planes tilted {approx}7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J{sub c} values of {approx}3.1 MA cm{sup -2} at 77 K and {approx}43 MA cm{sup -2} at 4.2 K, and by a strongly enhanced irreversibility field H{sub irr}, which reaches that of Nb{sub 3}Sn ({approx}28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J{sub c} values are {approx}15% of the depairing current density J{sub d}, much the highest of any superconductor suitable for magnet construction.

  7. Prediction of vapour-liquid and vapour-liquid-liquid equilibria of nitrogen-hydrocarbon mixtures used in J-T refrigerators

    Science.gov (United States)

    Narayanan, Vineed; Venkatarathnam, G.

    2018-03-01

    Nitrogen-hydrocarbon mixtures are widely used as refrigerants in J-T refrigerators operating with mixtures, as well as in natural gas liquefiers. The Peng-Robinson equation of state has traditionally been used to simulate the above cryogenic process. Multi parameter Helmholtz energy equations are now preferred for determining the properties of natural gas. They have, however, been used only to predict vapour-liquid equilibria, and not vapour-liquid-liquid equilibria that can occur in mixtures used in cryogenic mixed refrigerant processes. In this paper the vapour-liquid equilibrium of binary mixtures of nitrogen-methane, nitrogen-ethane, nitrogen-propane, nitrogen-isobutane and three component mixtures of nitrogen-methane-ethane and nitrogen-methane-propane have been studied with the Peng-Robinson and the Helmholtz energy equations of state of NIST REFPROP and compared with experimental data available in the literature.

  8. Contribution to the liquid-vapour equilibrium of potassium and sodium mixtures

    International Nuclear Information System (INIS)

    Schreinlechner, I.; Schwarz, N.

    1975-10-01

    In this paper the phase diagram of the binary system potassium-sodium in the liquid-vapour range was calculated for different pressures and temperatures, assuming the two metals acting as ideal solution. The assumption was verified by experimental results. It is thus possible to calculate the separation factor for the rectification of potassium and to estimate the content of sodium in the vapour phase during experiments with vapourized potassium from the data of the vapour pressures of the pure metals. (author)

  9. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  10. Calculation of vapour bubble growth on the lower generatrix of horizontal tubes

    International Nuclear Information System (INIS)

    Chajka, V.D.

    1987-01-01

    The known models of vapour bubble growth are compared with experimental data. Cinematographic study of vapour formation during water boiling was carried out with elements of horizontal tubes of copper 10, 16, 24, 34 and 70 mm in diameter under the pressure of 100 kPa and specific thermal loadings of 20 and 40 kW/m 2 . According to the experimental data the main volume of vapour phase is occupied by vapour bubbles from the lower part of the horizontal tube. Five stages of vapour bubble growth on the lower generatrix of the horizontal tube: nucleation, growth to the point of breaking off from nucleate centre, the breaking off from the nucleate centre, the tube surface flowing around during floating up, the breaking off from the tube surface, were singled out. The shape of vapour volume varied during the whole period of the bubble growth and it was mainly determined by the horizontal tube diameter. The change of vapour bubble radius in time is the function of the horizontal tube diameter. Comparison of the experimental data with the known models of vapour bubble growth has shown, that every stage of vapour bubble growth on the lower generatrix of the tube is determined by the complex of thermal and hydrodynamic conditions, the effect of which depends on the horizontal tube diameter

  11. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  12. Ethanol vapour sensing properties of screen printed WO 3 thick films

    Indian Academy of Sciences (India)

    The ethanol vapour sensing properties of these thick films were investigated at different operating temperatures and ethanol vapour concentrations. The WO3 thick films exhibit excellent ethanol vapour sensing properties with a maximum sensitivity of ∼1424.6% at 400°C in air atmosphere with fast response and recovery ...

  13. Sistema RTP: uma técnica poderosa para o monitoramento da formação de nanotubos de carbono durante o processo por deposição de vapor químico TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    Juliana Cristina Tristão

    2010-01-01

    Full Text Available In this work, a TPR (Temperature Programmed Reduction system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition, The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al2O3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields.

  14. Intercomparison of TCCON and MUSICA Water Vapour Products

    Science.gov (United States)

    Weaver, D.; Strong, K.; Deutscher, N. M.; Schneider, M.; Blumenstock, T.; Robinson, J.; Notholt, J.; Sherlock, V.; Griffith, D. W. T.; Barthlott, S.; García, O. E.; Smale, D.; Palm, M.; Jones, N. B.; Hase, F.; Kivi, R.; Ramos, Y. G.; Yoshimura, K.; Sepúlveda, E.; Gómez-Peláez, Á. J.; Gisi, M.; Kohlhepp, R.; Warneke, T.; Dohe, S.; Wiegele, A.; Christner, E.; Lejeune, B.; Demoulin, P.

    2014-12-01

    We present an intercomparison between the water vapour products from the Total Carbon Column Observing Network (TCCON) and the MUlti-platform remote Sensing of Isotopologues for investigating the Cycle of Atmospheric water (MUSICA), two datasets from ground-based Fourier Transform InfraRed (FTIR) spectrometers with good global representation. Where possible, comparisons to radiosondes are also included. The near-infrared TCCON measurements are optimized to provide precise monitoring of greenhouse gases for carbon cycle studies; however, TCCON's retrievals also produce water vapour products. The mid-infrared MUSICA products result from retrievals optimized to give precise and accurate information about H2O, HDO, and δD. The MUSICA water vapour products have been validated by extensive intercomparisons with H2O and δD in-situ measurements made from ground, radiosonde, and aircraft (Schneider et al. 2012, 2014), as well as by intercomparisons with satellite-based H2O and δD remote sensing measurements (Wiegele et al., 2014). This dataset provides a valuable reference point for other measurements of water vapour. This study is motivated by the limited intercomparisons performed for TCCON water vapour products and limited characterisation of their uncertainties. We compare MUSICA and TCCON products to assess the potential for TCCON measurements to contribute to studies of the water cycle, water vapour's role in climate and use as a tracer for atmospheric dynamics, and to evaluate the performance of climate models. The TCCON and MUSICA products result from measurements taken using the same FTIR instruments, enabling a comparison with constant instrumentation. The retrieval techniques differ, however, in their method and a priori information. We assess the impact of these differences and characterize the comparability of the TCCON and MUSICA datasets.

  15. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  16. Considering the use of polyethylene vapour barriers in temperate climates

    Energy Technology Data Exchange (ETDEWEB)

    Lawton, M.D. [Morrison Hershfield Ltd., Vancouver, BC (Canada); Brown, W.C. [Morrison Hershfield Ltd., Ottawa, ON (Canada)

    2003-07-01

    Most building envelope assemblies in Canada must include a vapour barrier in order to comply with Canadian building codes. The installation of sheet polyethylene between the studs and the interior sheathing has been the most common method because it provides more diffusion resistance than necessary to control condensation within a building envelope assembly. It has been suggested that the presence of a polyethylene vapour barrier on the warm-in-winter side of the insulation may actually cause moisture problems because a very low permeance material increases average moisture levels. This paper examined the theory that a vapour barrier at this location restricts drying of moisture that enters the building from outside. Pacific coastal regions of Canada and the United States were presented as examples. Other ways that a polyethylene vapour barrier affects wall performance were also presented. The advanced hygrothermal model HygIRC, developed by Canada's National Research Council, was used to simulate the performance of a wall assembly. Results indicate that eliminating the low permeance polyethylene vapour barrier does not necessarily reduce the risk of moisture problems. Removal of the vapour barrier may have some negative effects, such as increased risk of periodic moisture accumulation and mold growth on paper-faced gypsum board. 7 refs., 2 tabs., 7 figs.

  17. Detection of polar vapours

    International Nuclear Information System (INIS)

    Blyth, D.A.

    1980-01-01

    Apparatus for monitoring for polar vapours in a gas consists of (i) a body member defining a passage through which a continuous stream of the gas passes; (ii) an ionising source associated with a region of the passage such that ionization of the gas stream takes place substantially only within the region and also any polar vapour molecules present therein will react with the gas formed to generate ion clusters; and (iii) an electrode for collecting ions carried by the gas stream, the electrode being positioned in the passage downstream of the region and separated from the region by a sufficient distance to ensure that no substantial number of the gas ions formed in said region remains in the gas stream at the collector electrode whilst ensuring that a substantial proportion of the ion clusters formed in the region does remain in the gas stream at the collector electrode. (author)

  18. Optimization of structural and growth parameters of metamorphic InGaAs photovoltaic converters grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Rybalchenko, D. V.; Mintairov, S. A.; Salii, R. A.; Shvarts, M. Z.; Timoshina, N. Kh.; Kalyuzhnyy, N. A., E-mail: nickk@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    Metamorphic Ga{sub 0.76}In{sub 0.24}As heterostructures for photovoltaic converters are grown by the MOCVD (metal–organic chemical vapor deposition) technique. It is found that, due to the valence-band offset at the p-In{sub 0.24}Al{sub 0.76}As/p-In{sub 0.24}Ga{sub 0.76}As (wide-gap window/emitter) heterointerface, a potential barrier for holes arises as a result of a low carrier concentration in the wide-gap material. The use of an InAlGaAs solid solution with an Al content lower than 40% makes it possible to raise the hole concentration in the widegap window up ~9 × 10{sup 18} cm{sup –3} and completely remove the potential barrier, thereby reducing the series resistance of the device. The parameters of an GaInAs metamorphic buffer layer with a stepwise In content profile are calculated and its epitaxial growth conditions are optimized, which improves carrier collection from the n-GaInAs base region and provides a quantum efficiency of 83% at a wavelength of 1064 nm. Optimization of the metamorphic heterostructure of the photovoltaic converter results in that its conversion efficiency for laser light with a wavelength of 1064 nm is 38.5%.

  19. The millennium water vapour drop in chemistry–climate model simulations

    Directory of Open Access Journals (Sweden)

    S. Brinkop

    2016-07-01

    Full Text Available This study investigates the abrupt and severe water vapour decline in the stratosphere beginning in the year 2000 (the "millennium water vapour drop" and other similarly strong stratospheric water vapour reductions by means of various simulations with the state-of-the-art Chemistry-Climate Model (CCM EMAC (ECHAM/MESSy Atmospheric Chemistry Model. The model simulations differ with respect to the prescribed sea surface temperatures (SSTs and whether nudging is applied or not. The CCM EMAC is able to most closely reproduce the signature and pattern of the water vapour drop in agreement with those derived from satellite observations if the model is nudged. Model results confirm that this extraordinary water vapour decline is particularly obvious in the tropical lower stratosphere and is related to a large decrease in cold point temperature. The drop signal propagates under dilution to the higher stratosphere and to the poles via the Brewer–Dobson circulation (BDC. We found that the driving forces for this significant decline in water vapour mixing ratios are tropical sea surface temperature (SST changes due to a coincidence with a preceding strong El Niño–Southern Oscillation event (1997/1998 followed by a strong La Niña event (1999/2000 and supported by the change of the westerly to the easterly phase of the equatorial stratospheric quasi-biennial oscillation (QBO in 2000. Correct (observed SSTs are important for triggering the strong decline in water vapour. There are indications that, at least partly, SSTs contribute to the long period of low water vapour values from 2001 to 2006. For this period, the specific dynamical state of the atmosphere (overall atmospheric large-scale wind and temperature distribution is important as well, as it causes the observed persistent low cold point temperatures. These are induced by a period of increased upwelling, which, however, has no corresponding pronounced signature in SSTs anomalies in the tropics

  20. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  1. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  2. Vapour pressure of D2O - Ice at temperatures below 237 K

    International Nuclear Information System (INIS)

    Heras, J.M.; Asensio, M.C.; Estiu, G.; Viscido, L.

    1984-01-01

    Accurate measurements of heavy water ice vapour pressures between 193 and 253 K have been carried out and an equation based on thermodynamic data has been derived in order to calculate the D 2 O-ice vapour pressures between 173 and 273 K. The agreement between our calculated vapour pressures and the available experimental data including those in this paper, is very good. The comparison between the theoretical calculations of H 2 O-ice and D 2 O-ice vapour pressures confirms the experimental evidence that H 2 O-ice is more volatile than D 2 O-ice at all temperatures in agreement with the vapour isotopic effect theory (VPIE).(author)

  3. Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films

    Science.gov (United States)

    Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee

    2012-01-01

    An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.

  4. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  5. Analysis of a combined Rankine-vapour-compression refrigeration cycle

    International Nuclear Information System (INIS)

    Aphornratana, Satha; Sriveerakul, Thanarath

    2010-01-01

    This paper describes a theoretical analysis of a heat-powered refrigeration cycle, a combined Rankine-vapour-compression refrigeration cycle. This refrigeration cycle combines an Organic Rankine Cycle and a vapour-compression cycle. The cycle can be powered by low grade thermal energy as low as 60 deg. C and can produce cooling temperature as low as -10 deg. C. In the analysis, two combined Rankine-vapour-compression refrigeration cycles were investigated: the system with R22 and the system with R134a. Calculated COP values between 0.1 and 0.6 of both the systems were found.

  6. Fabrication of nanostructured clay-carbon nanotube hybrid nanofiller by chemical vapour deposition

    International Nuclear Information System (INIS)

    Manikandan, Dhanagopal; Mangalaraja, Ramalinga Viswanathan; Siddheswaran, Rajendran; Avila, Ricardo E.; Ananthakumar, Solaiappan

    2012-01-01

    Growth of multiwalled carbon nanotube (CNT) assemblies by chemical vapour decomposition (CVD) technique was achieved through decomposition of acetylene using iron impregnated montmorillonite (MM) catalysts. Various amounts of iron loaded montmorillonite catalysts were prepared by wet impregnation method and calcined at 450 °C. The catalysts were subjected to X-ray diffraction (XRD) and surface area analyses. Acetylene decomposition at a feed ratio of N 2 :H 2 :C 2 H 2 = 1:1:0.18 was conducted in the presence of iron impregnated montmorillonite catalysts in the CVD reactor for the growth of CNT structures. The role of Fe-activated clay catalyst on the formation of CNT structures has been systematically examined at various temperatures and correlated with the morphological features of CNTs. Catalyst assisted acetylene decomposition results the formation of different carbon nanostructures such as nanotubes, nanofibres and nanoflakes. These clay-CNT products were characterised for their morphological, thermal, qualitative and quantitative analyses. The morphological variations of CNT assemblies reveal Fe-montmorillonite catalysts have high selectivity at given reaction conditions. Thermogravimetric and Raman spectral analyses prove that the CNTs contain a good crystallanity and less structural defects.

  7. Fabrication of nanostructured clay-carbon nanotube hybrid nanofiller by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Manikandan, Dhanagopal, E-mail: dmani_cat@yahoo.co.in [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Mangalaraja, Ramalinga Viswanathan, E-mail: mangal@udec.cl [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Siddheswaran, Rajendran [Department of Materials Engineering, Faculty of Engineering, University of Concepcion, Concepcion (Chile); Avila, Ricardo E. [Personal Dosimetry Section, Chilean Nuclear Energy Commission, Santiago (Chile); Ananthakumar, Solaiappan [Materials and Minerals Division, National Institute for Interdisciplinary Science and Technology (NIIST), Trivandrum, Kerala (India)

    2012-03-01

    Growth of multiwalled carbon nanotube (CNT) assemblies by chemical vapour decomposition (CVD) technique was achieved through decomposition of acetylene using iron impregnated montmorillonite (MM) catalysts. Various amounts of iron loaded montmorillonite catalysts were prepared by wet impregnation method and calcined at 450 Degree-Sign C. The catalysts were subjected to X-ray diffraction (XRD) and surface area analyses. Acetylene decomposition at a feed ratio of N{sub 2}:H{sub 2}:C{sub 2}H{sub 2} = 1:1:0.18 was conducted in the presence of iron impregnated montmorillonite catalysts in the CVD reactor for the growth of CNT structures. The role of Fe-activated clay catalyst on the formation of CNT structures has been systematically examined at various temperatures and correlated with the morphological features of CNTs. Catalyst assisted acetylene decomposition results the formation of different carbon nanostructures such as nanotubes, nanofibres and nanoflakes. These clay-CNT products were characterised for their morphological, thermal, qualitative and quantitative analyses. The morphological variations of CNT assemblies reveal Fe-montmorillonite catalysts have high selectivity at given reaction conditions. Thermogravimetric and Raman spectral analyses prove that the CNTs contain a good crystallanity and less structural defects.

  8. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  9. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  10. The vapour pressure of americium(III) chloride

    International Nuclear Information System (INIS)

    Schuster, W.

    1983-01-01

    Based on the method described by Fischer, an ultramicro-size appratus was developed for static determination of the saturation vapour pressure of highly radioactive materials. The apparatus was tested with MgCl 2 , MnCl 2 , HoCl 3 and ScF 3 . The vapour pressure curves of MgCl 2 and MnCl 2 were in good agreement with other publications and thus proved the efficiency of the apparatus in spite of its difficulties of handling. The values measured for HoCl 3 and ScF 3 differed from those of earlier publications. However, these deviations have been observed before and may be the result of the different measuring principles of static and dynamic methods. For AmCl 3 , the following vapour pressure equation was established: log psub(Torr)=-(11826/T)+10.7. The thermodynamic parameters of the evaporation process were calculated on this basis, and the values for AmBr 3 and PnCl 3 were determined by extrapolation. (orig.) [de

  11. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  12. MOCVD growth and characterization of near-surface InGaN/GaN single quantum wells for non-radiative coupling of optical excitations

    DEFF Research Database (Denmark)

    Svensk, O.; Suihkonen, S.; Sintonen, S.

    2012-01-01

    We report a study of the structural and optical properties of near‐surface InGaN/GaN single quantum wells, grown by metalorganic chemical vapour deposition, as a function of underneath layer structure and GaN capping thickness. Special attention is paid to characterize properties which...... are important for non‐radiative coupling applications, such as emission intensity at peak wavelength and surface morphology. We observe that utilization of indium containing underneath structures results in high optical quality while increasing surface roughness. Optical performance can be further improved...

  13. Effect of paint on vapour resistivity in plaster

    Directory of Open Access Journals (Sweden)

    de Villanueva, L.

    2008-12-01

    Full Text Available The vapour resistivity of plaster coatings such as paint and their effectiveness as water repellents were studied in several types of plaster. To this end, painted, unpainted and pigmented specimens were tested. Experimental values were collected on diffusion and vapour permeability, or its inverse, water vapour resistivity.The data obtained were very useful for evaluating moisture exchange between plaster and the surrounding air, both during initial drying and throughout the life of the material. They likewise served as a basis for ensuring the proper evacuation of water vapour in walls, and use of the capacity of the porous network in plaster products to regulate moisture content or serve as a water vapour barrier to avoid condensation.Briefly, the research showed that pigments, water-based paints and silicon-based water repellents scantly raised vapour resistance. Plastic paints, enamels and lacquers, however, respectively induced five-, ten- and twenty-fold increases in vapour resistivity, on average.Se estudia el fenómeno de la resistividad al vapor de los de yeso y el efecto impermeabilizante que producen los recubrimientos de pintura sobre diversos tipos de yeso y escayola. Para ello, se ensayan probetas desnudas y recubiertas con distintos tipos de pintura, así como coloreados en masa. Se obtienen valores experimentales de la difusividad o permeabilidad al vapor o su inverso la resistividad al vapor de agua.Los datos obtenidos son muy útiles para valorar el fenómeno del intercambio de humedad entre el yeso y el ambiente, tanto durante el proceso de su secado inicial, como en el transcurso de su vida. Así como para disponer soluciones adecuadas para la evacuación del vapor de agua a través de los cerramientos, para utilizar la capacidad de regulación de la humedad, que proporciona el entramado poroso de los productos de yeso, o para impedir el paso del vapor de agua y evitar condensaciones.Como resumen de la investigación, se

  14. Nanocomposite film prepared by depositing xylan on cellulose nanowhiskers matrix

    Science.gov (United States)

    Qining Sun; Anurag Mandalika; Thomas Elder; Sandeep S. Nair; Xianzhi Meng; Fang Huang; Art J. Ragauskas

    2014-01-01

    Novel bionanocomposite films have been prepared by depositing xylan onto cellulose nanowhiskers through a pH adjustment. Analysis of strength properties, water vapour transmission, transparency, surface morphology and thermal decomposition showed the enhancement of film performance. This provides a new green route to the utilization of biomass for sustainable...

  15. Antimicrobial activity of novel nanostructured Cu-SiO2 coatings prepared by chemical vapour deposition against hospital related pathogens.

    Science.gov (United States)

    Varghese, Sajnu; Elfakhri, Souad O; Sheel, David W; Sheel, Paul; Bolton, Frederick J Eric; Foster, Howard A

    2013-09-05

    There is increasing recognition that the healthcare environment acts as an important reservoir for transmission of healthcare acquired infections (HCAI). One method of reducing environmental contamination would be use of antimicrobial materials. The antimicrobial activity of thin silica-copper films prepared by chemical vapour deposition was evaluated against standard strains of bacteria used for disinfectant testing and bacteria of current interest in HCAI. The structure of the coatings was determined using Scanning Electron Microscopy and their hardness and adhesion to the substrate determined. Antimicrobial activity was tested using a method based on BS ISO 22196:2007. The coatings had a pale green-brown colour and had a similar hardness to steel. SEM showed nano-structured aggregates of Cu within a silica matrix. A log10 reduction in viability of >5 could be obtained within 4 h for the disinfectant test strains and within 6 h for producing Acinetobacter baumannii, Klebsiella pneumoniae and Stenotrophomonas maltophilia. Activity against the other hospital isolates was slower but still gave log10 reduction factors of >5 for extended spectrum β-lactamase producing Escherichia coli and >3 for vancomycin resistant Enterococcus faecium, methicillin resistant Staphylococcus aureus and Pseudomonas aeruginosa within 24 h. The results demonstrate the importance of testing antimicrobial materials destined for healthcare use against isolates of current interest in hospitals as well as standard test strains. The coatings used here can also be applied to substrates such as metals and ceramics and have potential applications where reduction of microbial environmental contamination is desirable.

  16. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  17. Claims in vapour device (e-cigarette) regulation: A Narrative Policy Framework analysis.

    Science.gov (United States)

    O'Leary, Renée; Borland, Ron; Stockwell, Tim; MacDonald, Marjorie

    2017-06-01

    The electronic cigarette or e-cigarette (vapour device) is a consumer product undergoing rapid growth, and governments have been adopting regulations on the sale of the devices and their nicotine liquids. Competing claims about vapour devices have ignited a contentious debate in the public health community. What claims have been taken up in the state arena, and how have they possibly influenced regulatory outcomes? This study utilized Narrative Policy Framework to analyze the claims made about vapour devices in legislation recommendation reports from Queensland Australia, Canada, and the European Union, and the 2016 deeming rule legislation from the United States, and examined the claims and the regulatory outcomes in these jurisdictions. The vast majority of claims in the policy documents represented vapour devices as a threat: an unsafe product harming the health of vapour device users, a gateway product promoting youth tobacco uptake, and a quasi-tobacco product impeding tobacco control. The opportunity for vapour devices to promote cessation or reduce exposure to toxins was very rarely presented, and these positive claims were not discussed at all in two of the four documents studied. The dominant claims of vapour devices as a public health threat have supported regulations that have limited their potential as a harm reduction strategy. Future policy debates should evaluate the opportunities for vapour devices to decrease the health and social burdens of the tobacco epidemic. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  19. Retrieving mesospheric water vapour from observations of volume scattering radiances

    Directory of Open Access Journals (Sweden)

    P. Vergados

    2009-02-01

    Full Text Available This study examines the possibility for a theoretical approach in the estimation of water vapour mixing ratios in the vicinity of polar mesospheric clouds (PMC using satellite observations of Volume Scattering Radiances (VSR obtained at the wavelength of 553 nm. The PMC scattering properties perturb the underlying molecular Rayleigh scattered solar radiance of the background atmosphere. As a result, the presence of PMC leads to an enhancement in the observed VSR at the altitude of the layer; the PMC VSRs are superimposed on the exponentially decreasing with height Rayleigh VSR, of the PMC-free atmosphere. The ratio between the observed and the Rayleigh VSR of the background atmosphere is used to simulate the environment in which the cloud layer is formed. In addition, a microphysical model of ice particle formation is employed to predict the PMC VSRs. The initial water vapour profile is perturbed until the modelled VSRs match the observed, at which point the corresponding temperature and water vapour profiles can be considered as a first approximation of those describing the atmosphere at the time of the observations. The role of temperature and water vapour in the cloud formation is examined by a number of sensitivity tests suggesting that the water vapour plays a dominant role in the cloud formation in agreement with experimental results. The estimated water vapour profiles are compared with independent observations to examine the model capability in the context of this study. The results obtained are in a good agreement at the peak of the PMC layer although the radiance rapidly decreases with height below the peak. This simplified scenario indicates that the technique employed can give a first approximation estimate of the water vapour mixing ratio, giving rise to the VSR observed in the presence of PMC.

  20. Sensing response of copper phthalocyanine salt dispersed glass with organic vapours

    Energy Technology Data Exchange (ETDEWEB)

    Ridhi, R.; Sachdeva, Sheenam; Saini, G. S. S.; Tripathi, S. K., E-mail: surya@pu.ac.in [Department of Physics, Center of Advanced Study in Physics, Panjab University, Chandigarh-160 014 (INDIA) Fax: +91-172-2783336; Tel.:+91-172-2544362 (India)

    2016-05-06

    Copper Phthalocyanine and other Metal Phthalocyanines are very flexible and tuned easily to modify their structural, spectroscopic, optical and electrical properties by either functionalizing them with various substituent groups or by replacing or adding a ligand to the central metal atom in the phthalocyanine ring and accordingly can be made sensitive and selective to various organic species or gaseous vapours. In the present work, we have dispersed Copper Phthalocyanine Salt (CuPcS) in sol-gel glass form using chemical route sol-gel method and studied its sensing mechanism with organic vapours like methanol and benzene and found that current increases onto their exposure with vapours. A variation in the activation energies was also observed with exposure of vapours.

  1. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  2. Comparisons of measured and modelled ozone deposition to forests in northern Europe

    DEFF Research Database (Denmark)

    Touvinen, J. P.; Simpson, D.; Mikkelsen, Teis Nørgaard

    2001-01-01

    The performance of a new dry deposition module, developedfor the European-scale mapping and modelling of ozone flux to vegetation, was tested against micrometeorological ozone and water vapour flux measurements. The measurement data are for twoconiferous (Scots pine in Finland, Norway spruce...

  3. Vapour Pressure of Diethyl Phthalate

    Czech Academy of Sciences Publication Activity Database

    Roháč, V.; Růžička, K.; Růžička, V.; Zaitsau, D. H.; Kabo, G. J.; Diky, V.; Aim, Karel

    2004-01-01

    Roč. 36, č. 11 (2004), s. 929-937 ISSN 0021-9614 Institutional research plan: CEZ:AV0Z4072921 Keywords : vapour pressure * diethyl phthalate * correlation Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.144, year: 2004

  4. Estimation of vapour pressure and partial pressure of subliming ...

    Indian Academy of Sciences (India)

    Administrator

    conditions of (total) pressure by using thermogravimetry under those conditions. Further, from the partial pressure P, it is possible to determine the number of moles of material in the vapour phase using the ideal gas equation, PV = nRT, where P is the partial pressure, V the volume, n number of moles (of the vapour), R the ...

  5. Lg = 100 nm T-shaped gate AlGaN/GaN HEMTs on Si substrates with non-planar source/drain regrowth of highly-doped n+-GaN layer by MOCVD

    International Nuclear Information System (INIS)

    Huang Jie; Li Ming; Tang Chak-Wah; Lau Kei-May

    2014-01-01

    High-performance AlGaN/GaN high electron mobility transistors (HEMTs) grown on silicon substrates by metal—organic chemical-vapor deposition (MOCVD) with a selective non-planar n-type GaN source/drain (S/D) regrowth are reported. A device exhibited a non-alloyed Ohmic contact resistance of 0.209 Ω·mm and a comprehensive transconductance (g m ) of 247 mS/mm. The current gain cutoff frequency f T and maximum oscillation frequency f MAX of 100-nm HEMT with S/D regrowth were measured to be 65 GHz and 69 GHz. Compared with those of the standard GaN HEMT on silicon substrate, the f T and f MAX is 50% and 52% higher, respectively. (interdisciplinary physics and related areas of science and technology)

  6. Discrete vapour cavity model with improved timing of opening and collapse of cavities

    NARCIS (Netherlands)

    Bergant, A.; Tijsseling, A.S.; Vítkovský, J.P.; Simpson, A.R.; Lambert, M.F.

    2007-01-01

    Transient vaporous cavitation occurs in hydraulic piping systems when the liquid pressure falls to the vapour pressure. Cavitation may occur as a localized vapour cavity (large void fraction) or as distributed vaporous cavitation (small void fraction). The discrete vapour cavity model (DVCM) with

  7. Vapour phase motion in cryogenic systems containing superheated and subcooled liquids

    Science.gov (United States)

    Kirichenko, Yu. A.; Chernyakov, P. S.; Seregin, V. E.

    The development of vent pipelines, and venting storage tanks for cryogenic liquids requires the knowledge of the law of motion as well as regularities of vapour content variation in the liquid and heat dissipation by the vapour phase. This is a theoretical study of the effect of superheating (subcooling) of the liquid, relative acceleration and reduced pressure upon the size and velocity of noninteracting vapour bubbles, moving in the liquid, and upon their resistance and heat transfer coefficients.

  8. Photoionization spectroscopy of deep defects responsible for current collapse in nitride-based field effect transistors

    International Nuclear Information System (INIS)

    Klein, P B; Binari, S C

    2003-01-01

    This review is concerned with the characterization and identification of the deep centres that cause current collapse in nitride-based field effect transistors. Photoionization spectroscopy is an optical technique that has been developed to probe the characteristics of these defects. Measured spectral dependences provide information on trap depth, lattice coupling and on the location of the defects in the device structure. The spectrum of an individual trap may also be regarded as a 'fingerprint' of the defect, allowing the trap to be followed in response to the variation of external parameters. The basis for these measurements is derived through a modelling procedure that accounts quantitatively for the light-induced drain current increase in the collapsed device. Applying the model to fit the measured variation of drain current increase with light illumination provides an estimate of the concentrations and photoionization cross-sections of the deep defects. The results of photoionization studies of GaN metal-semiconductor field effect transistors and AlGaN/GaN high electron mobility transistors (HEMTs) grown by metal-organic chemical vapour deposition (MOCVD) are presented and the conclusions regarding the nature of the deep traps responsible are discussed. Finally, recent photoionization studies of current collapse induced by short-term (several hours) bias stress in AlGaN/GaN HEMTs are described and analysed for devices grown by both MOCVD and molecular beam epitaxy. (topical review)

  9. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  10. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  11. Effect of Ag doping on the structural, electrical and optical properties of ZnO grown by MOCVD at different substrate temperatures

    Science.gov (United States)

    Ievtushenko, A.; Karpyna, V.; Eriksson, J.; Tsiaoussis, I.; Shtepliuk, I.; Lashkarev, G.; Yakimova, R.; Khranovskyy, V.

    2018-05-01

    ZnO films and nanostructures were deposited on Si substrates by MOCVD using single source solid state zinc acetylacetonate (Zn(AA)) precursor. Doping by silver was realized in-situ via adding 1 and 10 wt. % of Ag acetylacetonate (Ag(AA)) to zinc precursor. Influence of Ag on the microstructure, electrical and optical properties of ZnO at temperature range 220-550 °C was studied by scanning, transmission electron and Kelvin probe force microscopy, photoluminescence and four-point probe electrical measurements. Ag doping affects the ZnO microstructure via changing the nucleation mode into heterogeneous and thus transforming the polycrystalline films into a matrix of highly c-axis textured hexagonally faceted nanorods. Increase of the work function value from 4.45 to 4.75 eV was observed with Ag content increase, which is attributed to Ag behaviour as a donor impurity. It was observed, that near-band edge emission of ZnO NS was enhanced with Ag doping as a result of quenching deep-level emission. Upon high doping of ZnO by Ag it tends to promote the formation of basal plane stacking faults defect, as it was observed by HR TEM and PL study in the case of 10 wt.% of Ag. Based on the results obtained, it is suggested that NS deposition at lower temperatures (220-300 °C) is more favorable for p-type doping of ZnO.

  12. Deposition of plasmon gold-fluoropolymer nanocomposites

    Science.gov (United States)

    Safonov, Alexey I.; Sulyaeva, Veronica S.; Timoshenko, Nikolay I.; Kubrak, Konstantin V.; Starinskiy, Sergey V.

    2016-12-01

    Degradation-resistant two-dimensional metal-fluoropolymer composites consisting of gold nanoparticles coated with a thin fluoropolymer film were deposited on a substrate by hot wire chemical vapour deposition (HWCVD) and ion sputtering. The morphology and optical properties of the obtained coatings were determined. The thickness of the thin fluoropolymer film was found to influence the position of the surface plasmon resonance peak. Numerical calculations of the optical properties of the deposited materials were performed using Mie theory and the finite-difference time-domain (FDTD) method. The calculation results are consistent with the experimental data. The study shows that the position of the resonance peak can be controlled by changing the surface concentration of particles and the thickness of the fluoropolymer coating. The protective coating was found to prevent the plasmonic properties of the nanoparticles from changing for several months.

  13. A static analytical apparatus for vapour pressures and (vapour + liquid) phase equilibrium measurements with an internal stirrer and view windows

    International Nuclear Information System (INIS)

    Guo, Hao; Gong, Maoqiong; Dong, Xueqiang; Wu, Jianfeng

    2014-01-01

    Highlights: • A new static analytical apparatus for vapour pressures and VLE data was designed. • The {R600a + R245fa} system was selected as a verification system. • Correlation of VLE data was made using PRvdWs and PRHVNRTL model. • Good agreement can be found with the literature data. - Abstract: A new static analytical apparatus for reliable vapour pressures and (vapour + liquid) equilibrium data of small-scale cell (≈150 mL) with internal stirrer and view windows was designed. In this work, the compositions of the phases were analyzed by a gas chromatograph connected on-line with TCD detectors. The operating pressure ranges from (0 to 3000) kPa, and the operating temperature range from (293 to 400) K. Phase equilibrium data for previously reported systems were first measured to test the credibility of the newly developed apparatus. The test included vapour pressure of 1,1,1,3,3-pentafluoropropane (R245fa) and isobutane (R600a), VLE of the (R600a + R245fa) system from T = (293.150 to 343.880) K. The measured VLE data are regressed with thermodynamic models using Peng–Robinson EoS with two different models, viz. the van der Waals mixing rule, and the Huron–Vidal mixing rule utilising the non-random two-liquid activity coefficient model. Thermodynamic consistency testing is also performed for the newly measured experimental data

  14. The impact of multiphase behaviour on coke deposition in heavy oil hydroprocessing catalysts

    Science.gov (United States)

    Zhang, Xiaohui

    Coke deposition in heavy oil catalytic hydroprocessing remains a serious problem. The influence of multiphase behaviour on coke deposition is an important but unresolved question. A model heavy oil system (Athabasca vacuum bottoms (ABVB) + decane) and a commercial heavy oil hydrotreating catalyst (NiMo/gamma-Al 2O3) were employed to study the impact of multiphase behaviour on coke deposition. The model heavy oil mixture exhibits low-density liquid + vapour (L1V), high-density liquid + vapour (L2V), as well as low-density liquid + high-density liquid + vapour (L1L2V) phase behaviour at a typical hydroprocessing temperature (380°C). The L2 phase only arises for the ABVB composition range from 10 to 50 wt %. The phase behaviour undergoes transitions from V to L2V, to L1L2V, to L1V with increasing ABVB compositions at the pressure examined. The addition of hydrogen into the model heavy oil mixtures at a fixed mass ratio (0.0057:1) does not change the phase behaviour significantly, but shifts the phase regions and boundaries vertically from low pressure to high pressure. In the absence of hydrogen, the carbon content, surface area and pore volume losses for catalyst exposed to the L1 phase are greater than for the corresponding L2 phase despite a higher coke precursor concentration in L2 than in L1. By contrast, in the presence of hydrogen, the carbon content, surface area and pore volume losses for the catalyst exposed to the L2 phase are greater than for the corresponding L1 phase. The higher hydrogen concentration in L1 appears to reverse the observed results. In the presence of hydrogen, L2 was most closely associated with coke deposition, L1 less associated with coke deposition, and V least associated with coke deposition. Coke deposition is maximized in the phase regions where the L2 phase arises. This key result is inconsistent with expectation and coke deposition models where the extent of coke deposition, at otherwise fixed reaction conditions, is asserted to

  15. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  16. A dilute Cu(Ni) alloy for synthesis of large-area Bernal stacked bilayer graphene using atmospheric pressure chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Madito, M. J.; Bello, A.; Dangbegnon, J. K.; Momodu, D. Y.; Masikhwa, T. M.; Barzegar, F.; Manyala, N., E-mail: ncholu.manyala@up.ac.za [Department of Physics, Institute of Applied Materials, SARCHI Chair in Carbon Technology and Materials, University of Pretoria, Pretoria 0028 (South Africa); Oliphant, C. J.; Jordaan, W. A. [National Metrology Institute of South Africa, Private Bag X34, Lynwood Ridge, Pretoria 0040 (South Africa); Fabiane, M. [Department of Physics, Institute of Applied Materials, SARCHI Chair in Carbon Technology and Materials, University of Pretoria, Pretoria 0028 (South Africa); Department of Physics, National University of Lesotho, P.O. Roma 180 (Lesotho)

    2016-01-07

    A bilayer graphene film obtained on copper (Cu) foil is known to have a significant fraction of non-Bernal (AB) stacking and on copper/nickel (Cu/Ni) thin films is known to grow over a large-area with AB stacking. In this study, annealed Cu foils for graphene growth were doped with small concentrations of Ni to obtain dilute Cu(Ni) alloys in which the hydrocarbon decomposition rate of Cu will be enhanced by Ni during synthesis of large-area AB-stacked bilayer graphene using atmospheric pressure chemical vapour deposition. The Ni doped concentration and the Ni homogeneous distribution in Cu foil were confirmed with inductively coupled plasma optical emission spectrometry and proton-induced X-ray emission. An electron backscatter diffraction map showed that Cu foils have a single (001) surface orientation which leads to a uniform growth rate on Cu surface in early stages of graphene growth and also leads to a uniform Ni surface concentration distribution through segregation kinetics. The increase in Ni surface concentration in foils was investigated with time-of-flight secondary ion mass spectrometry. The quality of graphene, the number of graphene layers, and the layers stacking order in synthesized bilayer graphene films were confirmed by Raman and electron diffraction measurements. A four point probe station was used to measure the sheet resistance of graphene films. As compared to Cu foil, the prepared dilute Cu(Ni) alloy demonstrated the good capability of growing large-area AB-stacked bilayer graphene film by increasing Ni content in Cu surface layer.

  17. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  18. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  19. Design of a safe facility for the metalorganic chemical vapor deposition of high-purity GaAs and AlGaAs

    Science.gov (United States)

    Messham, R. L.; Tucker, W. K.

    1986-09-01

    A metalorganic chemical vapor deposition (MOCVD) facility designed to safely handle highly toxic and pyrophoric growth materials is described. The system concept is based on remote operation, passive flow restriction, and forced air dilution to maintain safe gas concentrations under normal running and catastrophic system failure conditions. MOCVD is a key materials technology for advanced high-frequency optical and microwave devices. At this time, the use of highly toxic arsine as an arsenic source is dictated by critical device purity, reproducibility, and doping control requirements. The handling and use of this gas is a primary feature in the design of any safe facility for MOCVD growth of high-quality GaAs/AlGaAs. After a critical review of presently available effluent treatment techniques, it was concluded that a combination of flow restriction and dilution presented the most reliable treatment. Measured flow rates through orifices from 0.002 to 0.005 inch in diameter were compared to calculated values. A 0.002 inch orifice located in the cylinder valve or CGA fitting, combined with a cylinder of pure liquid arsine (205 psi), limits the maximum gas flow to ≪1 lpm. Such a flow can then be vented through a dedicated exhaust system where an additional forced injection of diluting air reduces the gas concentration to acceptable levels. In the final Westinghouse R&D Center design, the use of low-pressure pure arsine, flow restriction, and stack air injection has reduced the maximum stack exist gas concentration to below 25% of the IDLH level for arsine under total and catastrophic MOCVD facility equipment failure conditions. The elimination of potential problems with purging behind such orifices using carefully designed purging procedures and a microprocessor-controlled purging system are described. The IDLH level is defined by the OSHA and NIOSH standards completion program and represents the maximum level from which one could escape within 30 min without any

  20. The thermotidal exciting function for water vapour absorption of solar radiation

    Directory of Open Access Journals (Sweden)

    M. BONAFEDE

    1976-06-01

    Full Text Available The thermotidal exciting function J is considered, for
    the absorption of solar radiation by water vapour, according to the model
    derived by Siebert. The Mugge-Moller formula for water vapour absorption
    is integrated numerically, using experimental data for the water vapour
    concentration in the troposphere and the stratosphere. It appears that
    Siebort's formula is a reasonable approximation at low tropospheric levels
    but it dramatically overestimates the water vapour thermotidal heating
    in the upper troposphere and in the stratosphere. It seems thus possible
    that, if the correct vertical profile is employed for J , the amplitudes and
    phases of the diurnal temperature oscillations and of the tidal wind speeds
    may suffer significant changes from those previously calculated and possibly explain the three hours delay of the observed phases from the computed values.

  1. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  2. Microsphere formation in droplets using antisolvent vapour precipitation technique

    OpenAIRE

    Chew, Sean Jun Liang

    2017-01-01

    In previous studies, the antisolvent vapour precipitation method has been proven to produce uniformly sized lactose microspheres (1.0 µm) from a single droplet (1.2 mm diameter) at atmospheric pressure. These types of particles have potential applications in the pharmaceutical industry, especially due to their high dissolution rate. This project looked into the possibility of using antisolvent vapour precipitation to produce microspheres from finely atomised droplets. Microspheres in the sub-...

  3. Characterisation and optical vapour sensing properties of PMMA thin films

    Energy Technology Data Exchange (ETDEWEB)

    Capan, I. [Balikesir University, Science and Arts Faculty, Physics Department, 10100 Balikesir (Turkey)], E-mail: inci.capan@gmail.com; Tarimci, C. [Ankara University, Faculty of Engineering, Department of Engineering Physics, 06100, Tandogan, Ankara (Turkey); Hassan, A.K. [Sheffield Hallam University, Materials and Engineering Research Institute, City Campus, Pond Street, Sheffield S1 1WB (United Kingdom); Tanrisever, T. [Balikesir University, Science and Arts Faculty, Chemistry Department, 10100 Balikesir (Turkey)

    2009-01-01

    The present article reports on the characterisation of spin coated thin films of poly (methyl methacrylate) (PMMA) for their use in organic vapour sensing application. Thin film properties of PMMA are studied by UV-visible spectroscopy, atomic force microscopy and surface plasmon resonance (SPR) technique. Results obtained show that homogeneous thin films with thickness in the range between 6 and 15 nm have been successfully prepared when films were spun at speeds between 1000-5000 rpm. Using SPR technique, the sensing properties of the spun films were studied on exposures to several halohydrocarbons including chloroform, dichloromethane and trichloroethylene. Data from measured kinetic response have been used to evaluate the sensitivity of the studied films to the various analyte molecules in terms of normalised response (%) per unit concentration (ppm). The highest PMMA film sensitivity of 0.067 normalised response per ppm was observed for chloroform vapour, for films spun at 1000 rpm. The high film's sensitivity to chloroform vapour was ascribed mainly to its solubility parameter and molar volume values. Effect of film thickness on the vapour sensing properties is also discussed.

  4. YSZ thin films deposited on NiO-CSZ anodes by pulsed injection MOCVD for intermediate temperature-SOFC applications

    International Nuclear Information System (INIS)

    Garcia, G.; Pardo, J.A.; Santiso, J.; Merino, R.I.; Orera, V.M.; Larrea, A.; Pena, J.I.; Laguna-Bercero, M.A.; Figueras, A.

    2004-01-01

    Yttria-stabilized zirconia (YSZ) films are prepared on NiO-CaSZ by PIMOCVD (pulsed injection metal organic chemical vapor deposition). High quality, 5 to 10 μm thick, totally dense YSZ layers are prepared by controlling the oxygen partial pressure during the deposition. YSZ solid electrolyte deposition onto Ni-YSZ eutectic substrate is found to be a promising combination with regard to intermediate-temperature solid-oxide fuel cell applications. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  5. Electrically conducting oxide buffer layers on biaxially textured nickel alloy tapes by reel-to-reel MOCVD process

    International Nuclear Information System (INIS)

    Stadel, O; Samoilenkov, S V; Muydinov, R Yu; Schmidt, J; Keune, H; Wahl, G; Gorbenko, O Yu; Korsakov, I E; Melnikov, O V; Kaul, A R

    2006-01-01

    Reel-to-reel MOCVD process for continuous growth of electrically conducting buffer layers on biaxially textured Ni5W tapes has been developed. The new buffer layer architechture is presented: 200 nm (La, Ba) 2 CuO 4 /40 nm (La, Ba)MnO 3 /Ni5W. Constituting layers with high structural quality have been grown on moving tapes (in plane FWHM ≤ 6 0 and out of plane FWHM ≤ 3 0 )

  6. Antifungal Activity of Clove Essential Oil and its Volatile Vapour Against Dermatophytic Fungi

    OpenAIRE

    Chee, Hee Youn; Lee, Min Hee

    2007-01-01

    Antifungal activities of clove essential oil and its volatile vapour against dermatophytic fungi including Candida albicans, Epidermophyton floccosum. Microsporum audouinii, Trichophyton mentagrophytes, and Trichophyton rubrum were investigated. Both clove essential oil and its volatile vapour strongly inhibit spore germination and mycelial growth of the dermatophytic fungi tested. The volatile vapour of clove essential oil showed fungistatic activity whereas direct application of clove essen...

  7. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  8. Film boiling heat transfer and vapour film collapse for various geometries

    International Nuclear Information System (INIS)

    Jouhara, H.I.; Axcell, B.P.

    2005-01-01

    Full text of publication follows: Film boiling heat transfer has application to the safe operation of water-cooled nuclear reactors under fault conditions and it has been studied using nickel-plated copper specimens in transient and steady state experiments. In the transient tests the specimens were held in a water flow; in the steady state investigation a specimen was mounted in an essentially quiescent pool of water. The transient investigation was conducted on two spheres with different diameters, two cylindrical specimens of different lengths in parallel flow, a short cylinder in cross flow and two flat plates with different lengths. The heat transfer coefficient, vapour film thickness (which was estimated from the heat transfer coefficient) and heat flux followed a similar behaviour with changing experimental conditions for all specimens studied. The heat transfer coefficient increased and the vapour film thickness and heat flux decreased as the specimen temperature decreased. As the water subcooling increased the heat transfer coefficient and the heat flux increased while the vapour film thickness decreased. The water velocity was found to have little influence on the film boiling heat transfer results except for the short cylinder in cross flow. The sphere diameter was found to affect the heat transfer results; the heat transfer coefficient and the heat flux were larger, for the larger sphere. No significant effect of the cylinder length on the heat transfer data was observed. However, the heat transfer coefficient was higher (and the average vapour film thinner) for the longer plate than for the shorter plate. Three vapour/liquid interface types were observed namely: 'smooth', 'rippled' and 'turbulent' depending largely on specimen and water temperatures. For all specimens, the maximum heat transfer coefficient, minimum heat flux and minimum film boiling temperature, occurring just before vapour film collapse, were found to increase as the water subcooling

  9. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  10. Interactions of fission product vapours with aerosols

    Energy Technology Data Exchange (ETDEWEB)

    Benson, C G; Newland, M S [AEA Technology, Winfrith (United Kingdom)

    1996-12-01

    Reactions between structural and reactor materials aerosols and fission product vapours released during a severe accident in a light water reactor (LWR) will influence the magnitude of the radiological source term ultimately released to the environment. The interaction of cadmium aerosol with iodine vapour at different temperatures has been examined in a programme of experiments designed to characterise the kinetics of the system. Laser induced fluorescence (LIF) is a technique that is particularly amenable to the study of systems involving elemental iodine because of the high intensity of the fluorescence lines. Therefore this technique was used in the experiments to measure the decrease in the concentration of iodine vapour as the reaction with cadmium proceeded. Experiments were conducted over the range of temperatures (20-350{sup o}C), using calibrated iodine vapour and cadmium aerosol generators that gave well-quantified sources. The LIF results provided information on the kinetics of the process, whilst examination of filter samples gave data on the composition and morphology of the aerosol particles that were formed. The results showed that the reaction of cadmium with iodine was relatively fast, giving reaction half-lives of approximately 0.3 s. This suggests that the assumption used by primary circuit codes such as VICTORIA that reaction rates are mass-transfer limited, is justified for the cadmium-iodine reaction. The reaction was first order with respect to both cadmium and iodine, and was assigned as pseudo second order overall. However, there appeared to be a dependence of aerosol surface area on the overall rate constant, making the precise order of the reaction difficult to assign. The relatively high volatility of the cadmium iodide formed in the reaction played an important role in determining the composition of the particles. (author) 23 figs., 7 tabs., 22 refs.

  11. Interactions of fission product vapours with aerosols

    International Nuclear Information System (INIS)

    Benson, C.G.; Newland, M.S.

    1996-01-01

    Reactions between structural and reactor materials aerosols and fission product vapours released during a severe accident in a light water reactor (LWR) will influence the magnitude of the radiological source term ultimately released to the environment. The interaction of cadmium aerosol with iodine vapour at different temperatures has been examined in a programme of experiments designed to characterise the kinetics of the system. Laser induced fluorescence (LIF) is a technique that is particularly amenable to the study of systems involving elemental iodine because of the high intensity of the fluorescence lines. Therefore this technique was used in the experiments to measure the decrease in the concentration of iodine vapour as the reaction with cadmium proceeded. Experiments were conducted over the range of temperatures (20-350 o C), using calibrated iodine vapour and cadmium aerosol generators that gave well-quantified sources. The LIF results provided information on the kinetics of the process, whilst examination of filter samples gave data on the composition and morphology of the aerosol particles that were formed. The results showed that the reaction of cadmium with iodine was relatively fast, giving reaction half-lives of approximately 0.3 s. This suggests that the assumption used by primary circuit codes such as VICTORIA that reaction rates are mass-transfer limited, is justified for the cadmium-iodine reaction. The reaction was first order with respect to both cadmium and iodine, and was assigned as pseudo second order overall. However, there appeared to be a dependence of aerosol surface area on the overall rate constant, making the precise order of the reaction difficult to assign. The relatively high volatility of the cadmium iodide formed in the reaction played an important role in determining the composition of the particles. (author) 23 figs., 7 tabs., 22 refs

  12. Sound speed of isobaric heat capacity in the saturated and superheated vapour of cesium, rubidium and potassium

    International Nuclear Information System (INIS)

    Novikov, I.I.; Roschupkin, V.V.

    1985-01-01

    The paper reviews the work carried out on the thermodynamic properties of alkali metal vapours. The most systematic investigations concern the sound velocity measurements for saturated and superheated vapours of caesium, for saturated vapour of rubidium, and for superheated vapour of potassium. The Joule-Thompson coefficient has been studied in caesium vapour, and the isobaric heat capacity of potassium vapour has also been examined. The experimental methods for all these experiments are described, and the data obtained are presented in tabular form. (U.K.)

  13. Antifungal activity of clove essential oil and its volatile vapour against dermatophytic fungi.

    Science.gov (United States)

    Chee, Hee Youn; Lee, Min Hee

    2007-12-01

    Antifungal activities of clove essential oil and its volatile vapour against dermatophytic fungi including Candida albicans, Epidermophyton floccosum. Microsporum audouinii, Trichophyton mentagrophytes, and Trichophyton rubrum were investigated. Both clove essential oil and its volatile vapour strongly inhibit spore germination and mycelial growth of the dermatophytic fungi tested. The volatile vapour of clove essential oil showed fungistatic activity whereas direct application of clove essential oil showed fungicidal activity.

  14. Electron collision cross section sets of TMS and TEOS vapours

    Science.gov (United States)

    Kawaguchi, S.; Takahashi, K.; Satoh, K.; Itoh, H.

    2017-05-01

    Reliable and detailed sets of electron collision cross sections for tetramethylsilane [TMS, Si(CH3)4] and tetraethoxysilane [TEOS, Si(OC2H5)4] vapours are proposed. The cross section sets of TMS and TEOS vapours include 16 and 20 kinds of partial ionization cross sections, respectively. Electron transport coefficients, such as electron drift velocity, ionization coefficient, and longitudinal diffusion coefficient, in those vapours are calculated by Monte Carlo simulations using the proposed cross section sets, and the validity of the sets is confirmed by comparing the calculated values of those transport coefficients with measured data. Furthermore, the calculated values of the ionization coefficient in TEOS/O2 mixtures are compared with measured data to confirm the validity of the proposed cross section set.

  15. Progress in the deposition of MgB2 thin films

    International Nuclear Information System (INIS)

    Xi, X X; Pogrebnyakov, A V; Zeng, X H; Redwing, J M; Xu, S Y; Li, Qi; Liu, Zi-Kui; Lettieri, J; Vaithyanathan, V; Schlom, D G; Christen, H M; Zhai, H Y; Goyal, A

    2004-01-01

    An MgB 2 thin film deposition technology is the first critical step in the development of superconducting electronics utilizing the 39 K superconductor. It turned out to be a challenging task due to the volatility of Mg and phase stability of MgB 2 , the low sticking coefficients of Mg at elevated temperatures, and the reactivity of Mg with oxygen. A brief overview of current deposition techniques is provided here from a thermodynamic perspective, with an emphasis on a very successful technique for high quality in situ epitaxial MgB 2 films, the hybrid physical-chemical vapour deposition. Examples of heterostructures of MgB 2 with other materials are also presented

  16. Comparison of interaction mechanisms of copper phthalocyanine and nickel phthalocyanine thin films with chemical vapours

    Science.gov (United States)

    Ridhi, R.; Singh, Sukhdeep; Saini, G. S. S.; Tripathi, S. K.

    2018-04-01

    The present study deals with comparing interaction mechanisms of copper phthalocyanine and nickel phthalocyanine with versatile chemical vapours: reducing, stable aromatic and oxidizing vapours namely; diethylamine, benzene and bromine. The variation in electrical current of phthalocyanines with exposure of chemical vapours is used as the detection parameter for studying interaction behaviour. Nickel phthalocyanine is found to exhibit anomalous behaviour after exposure of reducing vapour diethylamine due to alteration in its spectroscopic transitions and magnetic states. The observed sensitivities of copper phthalocyanine and nickel phthalcyanine films are different in spite of their similar bond numbers, indicating significant role of central metal atom in interaction mechanism. The variations in electronic transition levels after vapours exposure, studied using UV-Visible spectroscopy confirmed our electrical sensing results. Bromine exposure leads to significant changes in vibrational bands of metal phthalocyanines as compared to other vapours.

  17. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  18. Impact of major volcanic eruptions on stratospheric water vapour

    Directory of Open Access Journals (Sweden)

    M. Löffler

    2016-05-01

    Full Text Available Volcanic eruptions can have a significant impact on the Earth's weather and climate system. Besides the subsequent tropospheric changes, the stratosphere is also influenced by large eruptions. Here changes in stratospheric water vapour after the two major volcanic eruptions of El Chichón in Mexico in 1982 and Mount Pinatubo on the Philippines in 1991 are investigated with chemistry–climate model simulations. This study is based on two simulations with specified dynamics of the European Centre for Medium-Range Weather Forecasts Hamburg – Modular Earth Submodel System (ECHAM/MESSy Atmospheric Chemistry (EMAC model, performed within the Earth System Chemistry integrated Modelling (ESCiMo project, of which only one includes the long-wave volcanic forcing through prescribed aerosol optical properties. The results show a significant increase in stratospheric water vapour induced by the eruptions, resulting from increased heating rates and the subsequent changes in stratospheric and tropopause temperatures in the tropics. The tropical vertical advection and the South Asian summer monsoon are identified as sources for the additional water vapour in the stratosphere. Additionally, volcanic influences on tropospheric water vapour and El Niño–Southern Oscillation (ENSO are evident, if the long-wave forcing is strong enough. Our results are corroborated by additional sensitivity simulations of the Mount Pinatubo period with reduced nudging and reduced volcanic aerosol extinction.

  19. Spontaneuos and Parametric Processes in Warm Rubidium Vapours

    Directory of Open Access Journals (Sweden)

    Dąbrowski M.

    2014-12-01

    Full Text Available Warm rubidium vapours are known to be a versatile medium for a variety of experiments in atomic physics and quantum optics. Here we present experimental results on producing the frequency converted light for quantum applications based on spontaneous and stimulated processes in rubidium vapours. In particular, we study the efficiency of spontaneously initiated stimulated Raman scattering in the Λ-level configuration and conditions of generating the coherent blue light assisted by multi-photon transitions in the diamond-level configuration. Our results will be helpful in search for new types of interfaces between light and atomic quantum memories.

  20. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  1. Performance analysis of a potassium-steam two stage vapour cycle

    International Nuclear Information System (INIS)

    Mitachi, Kohshi; Saito, Takeshi

    1983-01-01

    It is an important subject to raise the thermal efficiency in thermal power plants. In present thermal power plants which use steam cycle, the plant thermal efficiency has already reached 41 to 42 %, steam temperature being 839 K, and steam pressure being 24.2 MPa. That is, the thermal efficiency in a steam cycle is facing a limit. In this study, analysis was made on the performance of metal vapour/steam two-stage Rankine cycle obtained by combining a metal vapour cycle with a present steam cycle. Three different combinations using high temperature potassium regenerative cycle and low temperature steam regenerative cycle, potassium regenerative cycle and steam reheat and regenerative cycle, and potassium bleed cycle and steam reheat and regenerative cycle were systematically analyzed for the overall thermal efficiency, the output ratio and the flow rate ratio, when the inlet temperature of a potassium turbine, the temperature of a potassium condenser, and others were varied. Though the overall thermal efficiency was improved by lowering the condensing temperature of potassium vapour, it is limited by the construction because the specific volume of potassium in low pressure section increases greatly. In the combinatipn of potassium vapour regenerative cycle with steam regenerative cycle, the overall thermal efficiency can be 58.5 %, and also 60.2 % if steam reheat and regenerative cycle is employed. If a cycle to heat steam with the bled vapor out of a potassium vapour cycle is adopted, the overall thermal efficiency of 63.3 % is expected. (Wakatsuki, Y.)

  2. The water vapour flux above Switzerland and its role in the August 2005 extreme precipitation and flooding

    Energy Technology Data Exchange (ETDEWEB)

    N' Dri Koffi, Ernest; Maetzler, Christian [Bern Univ. (Switzerland). Inst. of Applied Physics; Graham, Edward [Bern Univ. (Switzerland). Inst. of Applied Physics; University of the Highlands and Islands, Stornoway, Scotland (United Kingdom). Lews Castle College

    2013-10-15

    The water budget approach is applied to an atmospheric box above Switzerland (hereafter referred to as the 'Swiss box') to quantify the atmospheric water vapour flux using ECMWF ERA-Interim reanalyses. The results confirm that the water vapour flux through the Swiss box is highly temporally variable, ranging from 1 to 5 x 10{sup 7} kg/s during settled anticyclonic weather, but increasing in size by a factor of ten or more during high speed currents of water vapour. Overall, Switzerland and the Swiss box 'import' more water vapour than it 'exports', but the amount gained remains only a small fraction (1% to 5%) of the total available water vapour passing by. High inward water vapour fluxes are not necessarily linked to high precipitation episodes. The water vapour flux during the August 2005 floods, which caused severe damage in central Switzerland, is examined and an assessment is made of the computed water vapour fluxes compared to high spatio-temporal rain gauge and radar observations. About 25% of the incoming water vapour flux was stored in Switzerland. The computed water vapour fluxes from ECMWF data compare well with the mean rain gauge observations and the combined rain-gauge radar precipitation products. (orig.)

  3. (Vapour + liquid) equilibria for (2,2-dimethoxypropane + methanol) and (2,2-dimethoxypropane + acetone)

    International Nuclear Information System (INIS)

    Jiang Hui; Li Haoran; Wang Congmin; Tan Taijun; Han Shijun

    2003-01-01

    The isothermal and isobaric (vapour + liquid) equilibria for (2,2-dimethoxypropane + methanol) and (2,2-dimethoxypropane + acetone) measured with an inclined ebulliometer are presented. The experimental results are analysed using the UNIQUAC equation with the temperature-dependent binary parameters with satisfactory results. Isobaric (vapour + liquid) equilibria data for these systems at p=99.99 kPa are compared with the literature data. Experimental vapour pressure of 2,2-dimethoxypropane are also included

  4. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  5. Factors affecting release of ethanol vapour in active modified atmosphere packaging systems for horticultural products

    Directory of Open Access Journals (Sweden)

    Weerawate Utto

    2014-04-01

    Full Text Available The active modified atmosphere packaging (active MAP system , which provides interactive postharvest control , using ethanol vapour controlled release, is one of the current interests in the development of active packaging for horticultural products. A number of published research work have discussed the relationship between the effectiveness of ethanol vapour and its concentration in the package headspace, including its effect on postharvest decay and physiological controls. This is of importance because a controlled release system should release and maintain ethanol vapour at effective concentrations during the desired storage period. A balance among the mass transfer processes of ethanol vapour in the package results in ethanol vapour accumulation in the package headspace. Key factors affecting these processes include ethanol loading, packaging material, packaged product and storage environment (temperature and relative h umidity. This article reviews their influences and discusses future work required to better understand their influences on ethanol vapour release and accumulations in active MAP.

  6. Properties of recent IBAD-MOCVD Coated Conductors relevant to their high field, low temperature magnet use

    OpenAIRE

    Braccini, V; Xu, A; Jaroszynski, J; Xin, Y; Larbalestier, D C; Chen, Y; Carota, G; Dackow, J; Kesgin, I; Yao, Y; Guevara, A; Shi, T; Selvamanickam, V

    2010-01-01

    BaZrO3 (BZO) nanorods are now incorporated into production IBAD-MOCVD coated conductors. Here we compare several examples of both BZO-free and BZO-containing coated conductors using critical current (Ic) characterizations at 4.2 K over their full angular range up to fields of 31 T. We find that BZO nanorods do not produce any c-axis distortion of the critical current density Jc(theta) curve at 4.2 K at any field, but also that pinning is nevertheless strongly enhanced compared to the non-BZO ...

  7. Organic Vapour Sensing Properties of Area-Ordered and Size-Controlled Silicon Nanopillar

    Directory of Open Access Journals (Sweden)

    Wei Li

    2016-11-01

    Full Text Available Here, a silicon nanopillar array (Si-NPA was fabricated. It was studied as a room-temperature organic vapour sensor, and the ethanol and acetone gas sensing properties were detected with I-V curves. I-V curves show that these Si-NPA gas sensors are sensitive to ethanol and acetone organic vapours. The turn-on threshold voltage is about 0.5 V and the operating voltage is 3 V. With 1% ethanol gas vapour, the response time is 5 s, and the recovery time is 15 s. Furthermore, an evaluation of the gas sensor stability for Si-NPA was performed. The gas stability results are acceptable for practical detections. These excellent sensing characteristics can mainly be attributed to the change of the overall dielectric constant of Si-NPA caused by the physisorption of gas molecules on the pillars, and the filling of the gas vapour in the voids.

  8. Effect of isochronal annealing on photoluminescence properties of Mn-implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar

    2009-01-01

    Mn ions were implanted into metal organic chemical vapour deposition (MOCVD)-grown GaN with dose ranging from 10 14 to 5x10 16 cm -2 . Isochronal annealing at 800 and 850 deg. C has been carried out after implantation of the samples. Photoluminescence measurements were carried out on the implanted samples before and after annealing. A peak found at 3.34 eV in the spectra of implanted samples after annealing at 850 deg. C is attributed to the stacking faults. Blue and green luminescence bands have been observed suppressed and an oxygen-related peak appeared at 3.44 eV in the PL spectra. The suppression of blue and green luminescence bands has been assigned to dissociation of V Ga O N complex. Near-band-edge (NBE) peak exhibited a blue shift after 800 deg. C anneal and then red shift to restore its original energy position when annealed at 850 deg. C

  9. Effect of isochronal annealing on photoluminescence properties of Mn-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: abdulmajid40@yahoo.com; Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: akbar@qau.edu.pk

    2009-01-15

    Mn ions were implanted into metal organic chemical vapour deposition (MOCVD)-grown GaN with dose ranging from 10{sup 14} to 5x10{sup 16} cm{sup -2}. Isochronal annealing at 800 and 850 deg. C has been carried out after implantation of the samples. Photoluminescence measurements were carried out on the implanted samples before and after annealing. A peak found at 3.34 eV in the spectra of implanted samples after annealing at 850 deg. C is attributed to the stacking faults. Blue and green luminescence bands have been observed suppressed and an oxygen-related peak appeared at 3.44 eV in the PL spectra. The suppression of blue and green luminescence bands has been assigned to dissociation of V{sub Ga}O{sub N} complex. Near-band-edge (NBE) peak exhibited a blue shift after 800 deg. C anneal and then red shift to restore its original energy position when annealed at 850 deg. C.

  10. Effect of water vapour absorption on hydroxyl temperatures measured from Svalbard

    Directory of Open Access Journals (Sweden)

    J. M. Chadney

    2017-03-01

    Full Text Available We model absorption by atmospheric water vapour of hydroxyl airglow emission using the HIgh-resolution TRANsmission molecular absorption database (HITRAN2012. Transmission coefficients are provided as a function of water vapour column density for the strongest OH Meinel emission lines in the (8–3, (5–1, (9–4, (8–4, and (6–2 vibrational bands. These coefficients are used to determine precise OH(8–3 rotational temperatures from spectra measured by the High Throughput Imaging Echelle Spectrograph (HiTIES, installed at the Kjell Henriksen Observatory (KHO, Svalbard. The method described in this paper also allows us to estimate atmospheric water vapour content using the HiTIES instrument.

  11. Intrinsic stress of bismuth oxide thin films: effect of vapour chopping and air ageing

    International Nuclear Information System (INIS)

    Patil, R B; Puri, R K; Puri, V

    2008-01-01

    Bismuth oxide thin films of thickness 1000 A 0 have been prepared by thermal oxidation (in air) of vacuum evaporated bismuth thin films (on glass substrate) at different oxidation temperatures and duration. Both the vapour chopped and nonchopped bismuth oxide thin films showed polycrystalline and polymorphic structure. The monoclinic bismuth oxide was found to be predominant in both the cases. The effect of vapour chopping and air exposure for 40 days on the intrinsic stress of bismuth oxide thin films has been studied. The vapour chopped films showed low (3.92 - 4.80 x 10 9 N/m 2 ) intrinsic stress than those of nonchopped bismuth oxide thin films (5.77 - 6.74 x 10 9 N/m 2 ). Intrinsic stress was found to increase due to air ageing. The effect of air ageing on the vapour chopped films was found low. The vapour chopped films showed higher packing density. Higher the packing density, lower the film will age. The process of chopping vapour flow creates films with less inhomogenety i.e. a low concentration of flaws and non-planar defects which results in lower intrinsic stress

  12. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  13. GaN-based blue laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Yanashima, Katsunori; Funato, Kenji; Asatsuma, Tsunenori; Kobayashi, Toshimasa [CT Development Centre, CNC, Sony Corporation, Atsugi, Kanagawa (Japan); Tojyo, Tsuyoshi; Asano, Takeharu; Kijima, Satoru; Hino, Tomonori; Takeya, Motonobu; Uchida, Shiro; Ikeda, Masao [Sony Shiroishi Semiconductor Inc., Shiroishi, Miyagi (Japan); Tomiya, Shigetaka [Environment and Analyhsis Technology Department, Sony Corporation, Hodogaya, Yokohama (Japan)

    2001-08-13

    We report our recent progress on GaN-based high-power laser diodes (LDs), which will be applied as a light source in high-density optical storage systems. We have developed raised-pressure metal-organic chemical vapour deposition (RP-MOCVD), which can reduce the threading-dislocation density in the GaN layer to several times 10{sup 8} cm{sup -2}, and demonstrated continuous-wave (cw) operation of GaN-based LD grown by RP-MOCVD. Furthermore, we found that the epitaxial lateral overgrowth (ELO) technique is useful for further reducing threading-dislocation density to 10{sup 6} cm{sup -2} and reducing the roughness of the cleaved facet. By using this growth technique and optimizing device parameters, the lifetime of LDs was improved to more than 1000 hours under 30 mW cw operation at 60 deg. C. Our results proved that reducing both threading-dislocation density and consumption power is a valid approach to realizing a practical GaN-based LD. On the other hand, the practical GaN-based LD was obtained when threading-dislocation density in ELO-GaN was only reduced to 10{sup 6} cm{sup -2}, which is a relatively small reduction as compared with threading-dislocation density in GaAs- and InP-based LDs. We believe that the multiplication of non-radiative centres is very slow in GaN-based LDs, possibly due to the innate character of the GaN-based semiconductor itself. (author)

  14. The Chemical Vapour Deposition of Tantalum - in long narrow channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki

    protective layers of tantalum because of the process’ ability to coat complex geometries and its relative ease to control. This work focuses on studying the CVD of tantalum in long narrow channels with the view that the knowledge gained during the project can be used to optimise the commercial coating...... and that there is a major change in morphology between 850 – 900 °C. The effects of system pressure and precursor partial pressure are also studied, and were found to have relevance to the tantalum distribution along the substrates but little effect on the structural morphology of the deposited layer. In the implemented...

  15. Evaluation of blast wave damage from very large unconfined vapour cloud explosions

    International Nuclear Information System (INIS)

    Munday, G.

    1975-01-01

    A mathematical model is described for estimating the damage potential from unconfined vapour cloud explosions. An attempt has been made to cover the salient details of the explosive phenomenon including finite flame accelerations and finite vapour cloud sizes. The model has been evaluated against two industrial incidents and the results extrapolated to large-volume vapour clouds. The authors conclude, on the evidence of this model, that great care must be taken in the evaluation of the explosion hazard from the probable occurrence of very large unconfined explosions even at distances in excess of 1 km from the centre of initiation. (author)

  16. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  17. Interface studies on the tunneling contact of a MOCVD-prepared tandem solar cell; Grenzflaechenuntersuchungen am Tunnelkontakt einer MOCVD-praeparierten Tandemsolarzelle

    Energy Technology Data Exchange (ETDEWEB)

    Seidel, U.

    2007-07-10

    In this thesis a tandem solar cell with a novel tunneling contact was developed. For the development of the monolithic preparation especailly critical hetero-interfaces were studied in the region of the tunneling contact with surface-sensitive measuring method. The tandem solar cell consisted of single solar cells with absorber layers of In{sub 0.53}Ga{sub 0.47}As (E{sub g}=0.73 eV) and In{sub 0.78}Ga{sub 0.22}As{sub 0.491}P{sub 0.51} (E{sub g}=1.03 eV), the serial switching of which was pursued with a tunneling contact (ESAKI diode, which consisted of a very thin n-doped InGaAs and a p-doped GaAsSb layer. The III-V semiconductor layers were prepared by metalorganic gas phase epitaxy (MOCVD) monocrystallinely on an InP(100) substrate lattice-matchedly. Especially the influence of the preparation of InGaAs surfaces on the sharpness of the InGaAs/GaAsSb interface was in-situ studied by reflection-anisotropy spectroscopy and after a contamination-free transfer into the ultrahigh vacuum with photoelectron spectroscopy and with low-energetic electron diffraction (LEED). Thereby for the first time three different reconstructions of the MOCVD-prepared InGaAs surfaces could be observed, which were dependent on the heating temperature under pure hydrogen. The arsenic-rich InGaAs surface was observed for temperatures less than 300 C and showed in the LEED picture a (4 x 3) reconstruction. In the temperature range from 300 C until about 500 C a (2 x 4) reconstruction was observed, above 500 C the InGaAs surface 94 x 2)/c(8 x 2) was reconstructed. Subsequently the study of the growth of thin GaAsSb layers on these three InGaAs surface reconstructions followed. XPS measurements showed that the Sb/As ratio in GaAsSb at the growth on the As-rich (4 x 3) reconstructed surface in the first monolayers was too low. The preparation of the GaAsSb on the two other InGaAs surfaces yielded however in both cases a distinctly higher Sb/As ratio. Finally tandem solar cells with differently

  18. HCl Flow-Induced Phase Change of α-, β-, and ε-Ga2O3 Films Grown by MOCVD

    KAUST Repository

    Sun, Haiding

    2018-03-06

    Precise control of the heteroepitaxy on a low-cost foreign substrate is often the key to drive the success of fabricating semiconductor devices in scale when a large low-cost native substrate is not available. Here, we successfully synthesized three different phases of Ga2O3 (α, β, and ε) films on c-plane sapphire by only tuning the flow rate of HCl along with other precursors in an MOCVD reactor. A 3-fold increase in the growth rate of pure β-Ga2O3 was achieved by introducing only 5 sccm of HCl flow. With continuously increased HCl flow, a mixture of β- and ε-Ga2O3 was observed, until the Ga2O3 film transformed completely to a pure ε-Ga2O3 with a smooth surface and the highest growth rate (∼1 μm/h) at a flow rate of 30 sccm. At 60 sccm, we found that the film tended to have a mixture of α- and ε-Ga2O3 with a dominant α-Ga2O3, while the growth rate dropped significantly (∼0.4 μm/h). The film became rough as a result of the mixture phases since the growth rate of ε-Ga2O3 is much higher than that of α-Ga2O3. In this HCl-enhanced MOCVD mode, the Cl impurity concentration was almost identical among the investigated samples. On the basis of our density functional theory calculation, we found that the relative energy between β-, ε-, and α-Ga2O3 became smaller, thus inducing the phase change by increasing the HCl flow in the reactor. Thus, it is plausible that the HCl acted as a catalyst during the phase transformation process. Furthermore, we revealed the microstructure and the epitaxial relationship between Ga2O3 with different phases and the c-plane sapphire substrates. Our HCl-enhanced MOCVD approach paves the way to achieving highly controllable heteroepitaxy of Ga2O3 films with different phases for device applications.

  19. 3D-printed poly(vinylidene fluoride)/carbon nanotube composites as a tunable, low-cost chemical vapour sensing platform

    Energy Technology Data Exchange (ETDEWEB)

    Kennedy, Z. C.; Christ, J. F.; Evans, K. A.; Arey, B. W.; Sweet, L. E.; Warner, M. G.; Erikson, R. L.; Barrett, C. A.

    2017-01-01

    We report the production of flexible, highly-conductive poly(vinylidene flouride) (PVDF) and multi-walled carbon nanotube (MWCNT) composites as filament feedstock for 3D-printing. This account further describes, for the first-time, fused deposition modelling (FDM) derived 3D-printed objects with chemiresistive properties in response to volatile organic compounds. The typically prohibitive thermal expansion and die swell characteristics of PVDF were minimized by the presence of MWCNTs in the composites enabling straightforward processing and printing. The nanotubes form a dispersed network as characterized by helium ion microscopy, contributing to excellent conductivity (1 x 10-2 S / cm). The printed composites contain little residual metal particulate relative to parts from commercial PLA-nanocomposite material visualized by micro X-ray computed tomography (μ-CT) and corroborated with thermogravimetric analysis. Printed sensing strips, with MWCNT loadings up to 15 % mass, function as reversible vapour sensors with the strongest responses arising with organic compounds capable of readily intercalating, and subsequently swelling the PVDF matrix (acetone and ethyl acetate). A direct correlation between MWCNT concentration and resistance change was also observed, with larger responses (up to 161 % after 3 minutes) generated with decreased MWCNT loadings. These findings highlight the utility of FDM printing in generating low-cost sensors that respond strongly and reproducibly to target vapours. Furthermore, the sensors can be easily printed in different geometries, expanding their utility to wearable form factors. The proposed formulation strategy may be tailored to sense diverse sets of vapour classes through structural modification of the polymer backbone and/or functionalization of the nanotubes within the composite.

  20. The mechanical vapour compression process applied to seawater desalination

    International Nuclear Information System (INIS)

    Murat, F.; Tabourier, B.

    1984-01-01

    The authors present the mechanical vapour compression process applied to sea water desalination. As an example, the paper presents the largest unit so far constructed by SIDEM using this process : a 1,500 m3/day unit installed in the Nuclear Power Plant of Flamanville in France which supplies a high quality process water to that plant. The authors outline the advantages of this process and present also the serie of mechanical vapour compression unit that SIDEM has developed in a size range in between 25 m3/day and 2,500 m3/day

  1. Desalination using spray tower and vapour compression refrigeration system

    International Nuclear Information System (INIS)

    Sathish Kumar, S.; Mani, A.

    2006-01-01

    A desalination system using a spray tower and Vapour Compression Refrigeration (VCR) system is proposed for obtaining fresh water from brackish water. In the spray tower, simultaneous heat and mass transfer take place between the brackish water and air, which results in the evaporation of the brackish water and humidification of the air. Fresh water is obtained from the humidified air by condensing the water vapour using a VCR system. Parametric studies were carried out to study the effect of various operational parameters on the fresh water production rate. (author)

  2. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  3. Stoichiometry, thickness and crystallinity of MOCVD grown Hg{sub 1x-y}Cd{sub x}Mn{sub y}Te determined by nuclear techniques of analysis

    Energy Technology Data Exchange (ETDEWEB)

    Studd, W.B.; Johnston, P.N.; Bubb, I.F. [Royal Melbourne Inst. of Tech., VIC (Australia); Leech, P.W. [Applied Research and Developement, Telecom Australia, Clayton, VIC (Australia)

    1993-12-31

    The quaternary semi-conductor Hg{sub 1-x-y}Cd{sub x}Mn{sub y}Te has been grown by Metal Organic Chemical Vapour Deposition using the Interdiffused Multi-layer Process. The layers have been analysed by Ion beam (PIXE, RBS, channeling) and related analytical techniques (EDXRF, XRD, RHEED) to obtain stoichiometric and structural information. The analysis shows that all four elements are present throughout the layer and that the elemental concentrations and thickness of the layer vary considerably over the film. Channeling, XRD and RHEED have been combined to show that the layer is polycrystalline. 14 refs., 3 figs.

  4. Stoichiometry, thickness and crystallinity of MOCVD grown Hg{sub 1x-y}Cd{sub x}Mn{sub y}Te determined by nuclear techniques of analysis

    Energy Technology Data Exchange (ETDEWEB)

    Studd, W B; Johnston, P N; Bubb, I F [Royal Melbourne Inst. of Tech., VIC (Australia); Leech, P W [Applied Research and Developement, Telecom Australia, Clayton, VIC (Australia)

    1994-12-31

    The quaternary semi-conductor Hg{sub 1-x-y}Cd{sub x}Mn{sub y}Te has been grown by Metal Organic Chemical Vapour Deposition using the Interdiffused Multi-layer Process. The layers have been analysed by Ion beam (PIXE, RBS, channeling) and related analytical techniques (EDXRF, XRD, RHEED) to obtain stoichiometric and structural information. The analysis shows that all four elements are present throughout the layer and that the elemental concentrations and thickness of the layer vary considerably over the film. Channeling, XRD and RHEED have been combined to show that the layer is polycrystalline. 14 refs., 3 figs.

  5. Collective dynamics in dense Hg vapour

    International Nuclear Information System (INIS)

    Ishikawa, D; Inui, M; Matsuda, K; Tamura, K; Baron, A Q R; Tsutsui, S; Tanaka, Y; Ishikawa, T

    2004-01-01

    The dynamic structure factor, S(Q,ο), of dense Hg vapour has been measured by high resolution inelastic x-ray scattering for densities of 3.0, 2.1 and 1.0 g cm -3 corresponding to 0.52, 0.36 and 0.17 times the critical density, respectively, and for momentum transfers between 2.0 and 48 nm -1 . Analysis of the longitudinal current-current correlation function in the framework of generalized hydrodynamics reveals that the frequencies of the collective excitations increase faster with Q than estimated from the macroscopic speed of sound. The ratios of the frequencies were found to be 1.27 at 3.0 g cm -3 , 1.12 at 2.1 g cm -3 and 1.10 at 1.0 g cm -3 . The sound velocity obtained from the present experiments is well reproduced by a wavenumber dependent adiabatic sound velocity, which means that the collective modes remain in the spectra of dense Hg vapour. (letter to the editor)

  6. Influence of ion size asymmetry on the properties of ionic liquid-vapour interfaces

    International Nuclear Information System (INIS)

    Bresme, Fernando; Gonzalez-Melchor, Minerva; Alejandre, Jose

    2005-01-01

    The influence of ion size asymmetry on the properties of ionic liquid-vapour interfaces is investigated using molecular dynamics simulations of the soft primitive model. Ion size asymmetry results in charge separation at the liquid-vapour interface and therefore in a local violation of the electroneutrality condition. For moderate size asymmetries the electrostatic potential at the interface can reach values of the order of 0.1 V. Size asymmetry plays a very important role in determining ion adsorption at the liquid-vapour interface of ionic mixtures. The interfacial adsorption of the bigger component results in an increase of the electrostatic potential, and a reduction of the interfacial surface tension. Our results show that ionic mixtures provide a very efficient way to tune the electrostatics and surface properties of ionic liquid-vapour interfaces

  7. Influence of ion size asymmetry on the properties of ionic liquid-vapour interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bresme, Fernando [Department of Chemistry, Imperial College London, London SW7 2AZ (United Kingdom); Gonzalez-Melchor, Minerva [Departamento de Fisica, Universidad Autonoma Metropolitana-Iztapalapa, Avenida San Rafael Atlixco 186, Colonia Vicentina, 09340 Mexico D.F. (Mexico); Alejandre, Jose [Departamento de QuImica, Universidad Autonoma Metropolitana-Iztapalapa, Avenida San Rafael Atlixco 186, Colonia Vicentina, 09340 Mexico D.F. (Mexico)

    2005-11-16

    The influence of ion size asymmetry on the properties of ionic liquid-vapour interfaces is investigated using molecular dynamics simulations of the soft primitive model. Ion size asymmetry results in charge separation at the liquid-vapour interface and therefore in a local violation of the electroneutrality condition. For moderate size asymmetries the electrostatic potential at the interface can reach values of the order of 0.1 V. Size asymmetry plays a very important role in determining ion adsorption at the liquid-vapour interface of ionic mixtures. The interfacial adsorption of the bigger component results in an increase of the electrostatic potential, and a reduction of the interfacial surface tension. Our results show that ionic mixtures provide a very efficient way to tune the electrostatics and surface properties of ionic liquid-vapour interfaces.

  8. Surface deposition from radioactive plumes

    International Nuclear Information System (INIS)

    Garland, J.A.

    1980-01-01

    Accidents involving nuclear plants may release radioactive particles and gases to the atmosphere. Dry deposition of particles has been investigated mainly in the laboratory and a general understanding of the transfer mechanisms has been established. However there is apparently a substantial discrepancy between the few field observations of dry deposition of particles and laboratory measurements, particularly for 0.1 - 1 μm particles for which laboratory work shows very small deposition rates. In addition there are few estimates of deposition rates for forest and some other kinds of terrain. The most important gas in the context of a nuclear accident is I-131 and the behaviour of this gas at grass surfaces has received much attention. However smaller quantities of other gases and vapours may be released and the surface absorption of these species may require further investigation. In addition there is little knowledge of the behaviour of gases over many types of surface. The rate of deposition of particles and gases is influenced by many parameters including wind speed and the temperature stratification of the lower atmosphere. Conditions which give poor atmospheric dispersion usually give lower deposition velocities. Transfer to man depends on the availability of deposited materials on crops and grass. A wide range of isotopes including iodine and several metallic fission products are lost with a half life for residence on grass ranging from a few days to a few tens days, depending on climatic conditions

  9. A sensor of alcohol vapours based on thin polyaniline base film and quartz crystal microbalance.

    Science.gov (United States)

    Ayad, Mohamad M; El-Hefnawey, Gad; Torad, Nagy L

    2009-08-30

    Thin films of polyaniline base, emeraldine base (EB), coating on the quartz crystal microbalance (QCM) electrode were used as a sensitive layer for the detection of a number of primary aliphatic alcohols such as ethanol, methanol, 2-propanol and 1-propanol vapours. The frequency shifts (Deltaf) of the QCM were increased due to the vapour adsorption into the EB film. Deltaf were found to be linearly correlated with the concentrations of alcohols vapour in part per million (ppm). The sensitivity of the sensor was found to be governed by the chemical structure of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusions of different alcohols vapour were studied and the diffusion coefficients (D) were calculated. It is concluded that the diffusion of the vapours into the EB film follows Fickian kinetics.

  10. The Use of VMD Data/Model to Test Different Thermodynamic Models for Vapour-Liquid Equilibrium

    DEFF Research Database (Denmark)

    Abildskov, Jens; Azquierdo-Gil, M.A.; Jonsson, Gunnar Eigil

    2004-01-01

    Vacuum membrane distillation (VMD) has been studied as a separation process to remove volatile organic compounds from aqueous streams. A vapour pressure difference across a microporous hydrophobic membrane is the driving force for the mass transport through the membrane pores (this transport take...... place in vapour phase). The vapour pressure difference is obtained in VMD processes by applying a vacuum on one side of the membrane. The membrane acts as a mere support for the liquid-vapour equilibrium. The evaporation of the liquid stream takes place on the feed side of the membrane...... values; membrane type: PTFE/PP/PVDF; feed flow rate; feed temperature. A comparison is made between different thermodynamic models for calculating the vapour-liquid equilibrium at the membrane/pore interface. (C) 2004 Elsevier B.V. All rights reserved....

  11. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  12. Fog deposition fluxes of water and ions to a mountainous site in Central Europe

    OpenAIRE

    Klemm, Otto; Wrzesinsky, Thomas

    2011-01-01

    Fog and precipitation composition and deposition were measured over a 1-yr period. Ion concentrations were higher in fog than in precipitation by factors of between 6 and 18. The causes of these differences were less dilution of fog water due to non-availability of condensable water vapour, and more efficient transfer of surface emissions to fog water as compared to rain water or snow. Fogwater and dissolved ions depositions were measured with eddy covariance in combination with a bulk fogwat...

  13. Different defect levels configurations between double layers of nanorods and film in ZnO grown on c-Al2O3 by MOCVD

    International Nuclear Information System (INIS)

    Wu, Bin; Zhang, Yuantao; Shi, Zhifeng; Li, Xiang; Cui, Xijun; Zhuang, Shiwei; Zhang, Baolin; Du, Guotong

    2014-01-01

    Epitaxial ZnO structures with inherent two layers of nanorods layer on film layer were fabricated on c-Al 2 O 3 by metal-organic chemical vapor deposition (MOCVD) and studied by photoluminescence. Specially, photoluminescence spectra for the film layer were obtained by rendering the excitation from the substrate side. Different defect levels configurations between nanorods and film were revealed. Zinc vacancies tend to form in top nanorods layer, whereas abundant zinc–oxygen divacancies accumulate in bottom film layer. An acceptor state with activation energy of ∼200 meV is exclusive to the film layer. The stacking fault related acceptor and Al introduced donor are present in both layers. Besides, two other defect related donors contained in the nanorods layer perhaps also exist within the film layer. - Highlights: • Inherent double layer ZnO of nanorods on film layer were studied by PL. • V Zn tend to form in the nanorods layer, and V ZnO accumulate in the film layer. • An acceptor with activation energy of ∼200 meV is exclusive to the film layer. • Pure NBE emission without DLE in RT PL spectrum does not mean good crystallinity

  14. Theoretical calculations of primary particle condensation for cadmium and caesium iodide vapours

    Energy Technology Data Exchange (ETDEWEB)

    Buckle, E.R. [Division of Metallurgy, School of Materials, The University, Mappin Street, Sheffield S1 3JD (United Kingdom); Bowsher, B.R. [Chemistry Division, Atomic Energy Establishment, Winfrith, Dorchester, Dorset (United Kingdom)

    1988-10-15

    A theoretical approach to modelling aerosol nucleation from the vapour phase has been developed by Buckle. In this theory, the condensing vapour species are assumed to be transported from an evaporating source across a one-dimensional stagnant boundary layer into an unreactive vapour-free atmosphere. A slip-flow model for interfacial energy and mass flow is combined with this stagnant boundary layer model to yield a set of parameters that uniquely characterise the evaporative flow process (i.e. pressure, source and sink temperatures, sink concentration, and the flux density of heat or mass from the source). To obtain the initial conditions for nucleation the vapour saturation ratio p/p deg is plotted against temperature and compared with the minimum saturation ratio defined by homogeneous nucleation theory. The co-education be represented by a nucleation threshold (or F) diagram. The mass and energy equations of the flow are solved by introducing the Becker-Doering formula for the nucleation rate, and the Stefan diffusion model for particle growth. This gives the rise and fall of supersaturation and the evolution of the particle size distribution along the flow coordinate. In the present studies, the applicability of the model has been tested by considering the condensation of caesium iodide and cadmium vapours under a wide variety of pre-mixed flow conditions of interest to PWR severe accident studies. The model has been used to predict the onset of nucleation and the particle size distribution for single vapour species. Preliminary studies have demonstrated that conditions exist whereby both heterogeneous and homogeneous nucleation can occur simultaneously. This process could account for experimental observations of chemically-different aerosols being formed under severe reactor accident conditions. (author)

  15. Vapour and electro-deposited metal films on copper: structure and reactivity

    OpenAIRE

    McEvoy, Thomas F.

    2004-01-01

    The systems studied involve deposition of metals of a larger atomic diameter on a Cu{100} single crystal surface under vacuum and determining the structures formed along with the effect on the Cu{100} substrate. Cu microelectrodes were fabricated and characterised with Indium electrodeposited on the electrode surface. The In on Cu{ 100} growth mode is compared with the growth mode of electrodeposited Indium on Cu microelectrodes. The Cu{100}/In system has been studied for the In coverage ...

  16. Seasonally-Active Water on Mars: Vapour, Ice, Adsorbate, and the Possibility of Liquid

    Science.gov (United States)

    Richardson, M. I.

    2002-12-01

    southern caps. Similar climate-models of the water cycle also do not need much exchangeable adsorbed water in order to explain the observed vapour distributions. The possibility of liquid water is tantalizing, but difficult to definitively judge. On scales greater than a meter or so, Mars is most definitely well away from the water triple point--although the surface pressure can exceed 6.1 mbars, the partial pressure of water vapor (to which the triple point refers) is at best orders of magnitude lower. Several careful studies have shown, however, that locally transient (meta-stable) liquid is possible, if the net heating of ice deposits is high enough. This process is aided if the total surface pressure exceeds 6.1mbar (this prevents boiling, or the explosive loss of vapour into the atmosphere) or if the liquid is covered by a thin ice shell, and is only possible if surface temperatures exceed 273K (for pure water, or the appropriate eutectic for brines) and if ice is present. The former challenge is much easier to meet than the latter. The melt scenario requires that ice deposited in winter must be protected from sublimation as surface temperatures increase in spring, but then exposed to the peak of solar heating in summer. Available spacecraft observations of seasonal water will be discussed with the aid of GCM model simulations, and examined in the context of water distributions and phases.

  17. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  18. Modelling of vapour explosion in a stratified geometry

    International Nuclear Information System (INIS)

    Brayer, Claude

    1994-01-01

    A vapour explosion is the explosive vaporisation of a volatile liquid in contact with another hotter liquid. Such a violent vaporisation requires an intimate mixing and a fine fragmentation of both liquids. Based on a synthesis of published experimental results, the author of this research thesis reports the development of a new physical model which describes the explosion. In this model, the explosion propagation is due to the propagation of the pressure wave associated with this this explosion, all along the vapour film which initially separates both liquids. The author takes the presence of water in the liquid initially located over the film into account. This presence of vapour explains experimental propagation rates. Another consequence, when the pressure wave passes, is an acceleration of liquids at different rates below and above the film. The author considers that a mixture layer then forms from the point of disappearance of the film, between both liquids, and that fragmentation is due to the turbulence in this mixture layer. This fragmentation model is then introduced into an Euler thermodynamic, three-dimensional and multi-constituents code of calculation, MC3D, to study the influence of fragmentation on thermal exchanges between the various constituents on the volatile liquid vaporisation [fr

  19. Transport of metals and sulphur in magmas by flotation of sulphide melt on vapour bubbles

    Science.gov (United States)

    Mungall, J. E.; Brenan, J. M.; Godel, B.; Barnes, S. J.; Gaillard, F.

    2015-03-01

    Emissions of sulphur and metals from magmas in Earth’s shallow crust can have global impacts on human society. Sulphur-bearing gases emitted into the atmosphere during volcanic eruptions affect climate, and metals and sulphur can accumulate in the crust above a magma reservoir to form giant copper and gold ore deposits, as well as massive sulphur anomalies. The volumes of sulphur and metals that accumulate in the crust over time exceed the amounts that could have been derived from an isolated magma reservoir. They are instead thought to come from injections of multiple new batches of vapour- and sulphide-saturated magmas into the existing reservoirs. However, the mechanism for the selective upward transfer of sulphur and metals is poorly understood because their main carrier phase, sulphide melt, is dense and is assumed to settle to the bottoms of magma reservoirs. Here we use laboratory experiments as well as gas-speciation and mass-balance models to show that droplets of sulphide melt can attach to vapour bubbles to form compound drops that float. We demonstrate the feasibility of this mechanism for the upward mobility of sulphide liquids to the shallow crust. Our work provides a mechanism for the atmospheric release of large amounts of sulphur, and contradicts the widely held assumption that dense sulphide liquids rich in sulphur, copper and gold will remain sequestered in the deep crust.

  20. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  1. Monometallic Pd and Pt and Bimetallic Pd-Pt/Al2O3-TiO2 for the HDS of DBT: Effect of the Pd and Pt Incorporation Method

    Directory of Open Access Journals (Sweden)

    Reynaldo Martínez Guerrero

    2014-01-01

    Full Text Available The effect of the preparation method of monometallic Pd and Pt and bimetallic Pd-Pt/Al2O3-TiO2 catalysts on the hydrodesulfurization (HDS of dibenzothiophene (DBT was investigated in this study. The synthesis was accomplished using three methods: (A impregnation, (B metal organic chemical vapor deposition (MOCVD, and (C impregnation-MOCVD. The bimetallic Pd-Pt catalyst prepared by the impregnation-MOCVD method was most active for the HDS of DBT compared to those prepared by the single impregnation or MOCVD method due to the synergetic effect between both noble metals. The greater selectivity toward biphenyl indicated that this bimetallic Pd-Pt catalyst preferentially removes sulfur via the direct desulfurization mechanism. However, the bimetallic Pd-Pt catalyst prepared using the single MOCVD method did not produce any cyclohexylbenzene, which is most likely associated with the hydrogenation/dehydrogenation sites.

  2. Ethylene vinylacetate copolymer and nanographite composite as chemical vapour sensor

    International Nuclear Information System (INIS)

    Stepina, Santa; Sakale, Gita; Knite, Maris

    2013-01-01

    Polymer-nanostructured carbon composite as chemical vapour sensor is described, made by the dissolution method of a non-conductive polymer, ethylene vinylacetate copolymer, mixed with conductive nanographite particles (carbon black). Sensor exhibits relative electrical resistance change in chemical vapours, like ethanol and toluene. Since the sensor is relatively cheap, easy to fabricate, it can be used in air quality monitoring and at industries to control hazardous substance concentration in the air, for example, to protect workers from exposure to chemical spills

  3. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  4. Factors controlling alkali salt deposition in recovery boilers - particle formation and deposition; Soodakattilan likaantuminen ja siihen vaikuttavien tekijoeiden hallinta - hiukkasten muodostuminen ja depositio

    Energy Technology Data Exchange (ETDEWEB)

    Kauppinen, E.I.; Mikkanen, P.; Ylaetalo, S. [VTT Chemistry, Espoo (Finland); Jokiniemi, J.K.; Lyyraenen, J.; Pyykoenen, J.; Saastamoinen, J. [VTT Energy, Espoo (Finland)

    1996-12-01

    In this project, the aim was to find out those critical factors that control the deposit formation in the recovery boilers. We focus on the particle formation, growth and deposition as well as the single black liquor particle combustion behaviour. The final goal is the development of the predictive model to be used to describe deposit growth and subsequent behaviour as well as the dependence of deposition on black liquor characteristics and boiler operation conditions. During year 1995 an experimental study on the aerosol particle formation within the recovery boiler furnace and a sensitivity study with the Aerosol Behaviour in Combustion (ABC) code were carried out. The experimental study confirmed the fact that the particles are already formed in the recovery boiler furnace. The particle formation is initiated in the boundary layer of the burning droplet or smelt bed, where metals are vaporised and oxidised to form tiny seed particles. Trace amounts of metals were measured in all particle sizes and the sensitivity study with the ABC model gave further evidence of the seed formation was necessary primary step in the particle formation. At the furnace outlet the sintration ratio and the sulfation ratio of the particles were dependent on the furnace temperature and the residence time in the furnace. At ESP inlet three types of particles were observed (1) fine particles with the major mass mode at about 1-2 {mu}m, (2) large agglomerates in sizes larger than 8 {mu}m, and (3) spherical particles about 2-4 {mu}m in size. The fine particles were formed from vapours and the large agglomerates were formed from fine particles agglomerated on heat exchanger surfaces and re-entrained back to flue gas flow. The large agglomerates also contain vapours that have directly condensed to surfaces. The large spherical particles contain silicon and pass the process almost unchanged. (Abstract Truncated)

  5. Intercomparison of atmospheric water vapour measurements at a Canadian High Arctic site

    Science.gov (United States)

    Weaver, Dan; Strong, Kimberly; Schneider, Matthias; Rowe, Penny M.; Sioris, Chris; Walker, Kaley A.; Mariani, Zen; Uttal, Taneil; McElroy, C. Thomas; Vömel, Holger; Spassiani, Alessio; Drummond, James R.

    2017-08-01

    Water vapour is a critical component of the Earth system. Techniques to acquire and improve measurements of atmospheric water vapour and its isotopes are under active development. This work presents a detailed intercomparison of water vapour total column measurements taken between 2006 and 2014 at a Canadian High Arctic research site (Eureka, Nunavut). Instruments include radiosondes, sun photometers, a microwave radiometer, and emission and solar absorption Fourier transform infrared (FTIR) spectrometers. Close agreement is observed between all combination of datasets, with mean differences ≤ 1.0 kg m-2 and correlation coefficients ≥ 0.98. The one exception in the observed high correlation is the comparison between the microwave radiometer and a radiosonde product, which had a correlation coefficient of 0.92.A variety of biases affecting Eureka instruments are revealed and discussed. A subset of Eureka radiosonde measurements was processed by the Global Climate Observing System (GCOS) Reference Upper Air Network (GRUAN) for this study. Comparisons reveal a small dry bias in the standard radiosonde measurement water vapour total columns of approximately 4 %. A recently produced solar absorption FTIR spectrometer dataset resulting from the MUSICA (MUlti-platform remote Sensing of Isotopologues for investigating the Cycle of Atmospheric water) retrieval technique is shown to offer accurate measurements of water vapour total columns (e.g. average agreement within -5.2 % of GRUAN and -6.5 % of a co-located emission FTIR spectrometer). However, comparisons show a small wet bias of approximately 6 % at the high-latitude Eureka site. In addition, a new dataset derived from Atmospheric Emitted Radiance Interferometer (AERI) measurements is shown to provide accurate water vapour measurements (e.g. average agreement was within 4 % of GRUAN), which usefully enables measurements to be taken during day and night (especially valuable during polar night).

  6. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    Science.gov (United States)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-02-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H.

  7. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    International Nuclear Information System (INIS)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-01-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H 2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H

  8. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  9. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  10. The Droplets Condensate Centering in the Vapour Channel of Short Low Temperature Heat Pipes at High Heat Loads

    Science.gov (United States)

    Seryakov, A. V.; Shakshin, S. L.; Alekseev, A. P.

    2017-11-01

    The results of experimental studies of the process of condensate microdroplets centering contained in the moving moist vapour in the vapour channel of short heat pipes (HPs) for large thermal loads are presented. A vapour channel formed by capillary-porous insert in the form of the inner Laval-liked nozzle along the entire length of the HP. In the upper cover forming a condensation surface in the HP, on the diametrical line are installed capacitive sensors, forming three capacitors located at different distances from the longitudinal axis of the vapour channel. With increasing heat load and the boil beginning in the evaporator a large amount of moist vapour in the vapour channel of HP occur the pressure pulsation with frequency of 400-500 Hz and amplitude up to 1·104Pa. These pulsations affect the moving of the inertial droplets subsystem of the vapour and due to the heterogeneity of the velocity profile around the particle flow in the vapour channel at the diameter of microdroplets occurs transverse force, called the Saffman force and shear microdroplets to the center of vapour channel. Using installed in the top cover capacitors we can record the radial displacement of the condensable microdroplets.

  11. Operating experience of RAPSODIE and PHENIX relating to sodium aerosols and vapours

    Energy Technology Data Exchange (ETDEWEB)

    Delisle, J P; Reboul, M; Elie, X [DRNR/STRS - Centre de Cadarache, Saint-Paul-lez-Durance (France)

    1977-01-01

    The main difficulties resulting from sodium aerosols and vapours in the cover gas which have been encountered for 10 years in RAPSODIE and for 3 years in PHENIX are reviewed: condensation of sodium in annular spaces; plugging in primary gas pipes; plugging of filters and vapour traps. All those problems were easily overcome. (author)

  12. Alcohol vapours sensor based on thin polyaniline salt film and quartz crystal microbalance.

    Science.gov (United States)

    Ayad, Mohamad M; Torad, Nagy L

    2009-06-15

    A sensor based on the quartz crystal microbalance (QCM) technique was developed for detection of a number of primary aliphatic alcohols such as ethanol, methanol, 1-propanol, and 2-propanol vapours. Detection was based on a sensitive and a thin film of polyaniline, emeraldine salt (ES), coated the QCM electrode. The frequency shifts (Delta f) of the QCM were increased due to the vapour absorption into the ES film. The values of Delta f were found to be linearly correlated with the concentrations of alcohols vapour in mg L(-1). The changes in frequency are due to the hydrophilic character of the ES and the electrostatic interaction as well as the type of the alcohol. The sensor shows a good reproducibility and reversibility. The diffusion and diffusion coefficient (D) of different alcohols vapour were determined. It was found that the sensor follows Fickian kinetics.

  13. Water vapour and methane coupling in the stratosphere observed using SCIAMACHY solar occultation measurements

    Directory of Open Access Journals (Sweden)

    S. Noël

    2018-04-01

    Full Text Available An improved stratospheric water vapour data set has been retrieved from SCIAMACHY/ENVISAT solar occultation measurements. It is similar to that successfully applied to methane and carbon dioxide. There is now a consistent set of data products for the three constituents covering the altitudes 17–45 km, the latitude range between about 50 and 70° N, and the period August 2002 to April 2012. The new water vapour concentration profiles agree with collocated results from ACE-FTS and MLS/Aura to within  ∼  5 %. A significant positive linear change in water vapour for the time 2003–2011 is observed at lower stratospheric altitudes with a value of about 0.015 ± 0.008 ppmv year−1 around 17 km. Between 30 and 37 km the changes become significantly negative (about −0.01 ± 0.008 ppmv year−1; all errors are 2σ values. The combined analysis of the SCIAMACHY methane and water vapour time series shows the expected anti-correlation between stratospheric methane and water vapour and a clear temporal variation related to the Quasi-Biennial Oscillation (QBO. Above about 20 km most of the additional water vapour is attributed to the oxidation of methane. In addition short-term fluctuations and longer-term variations on a timescale of 5–6 years are observed. The SCIAMACHY data confirm that at lower altitudes the amount of water vapour and methane are transported from the tropics to higher latitudes via the shallow branch of the Brewer–Dobson circulation.

  14. Effects of Mg pre-flow, memory, and diffusion on the growth of p-GaN with MOCVD (Conference Presentation)

    Science.gov (United States)

    Tu, Charng-Gan; Chen, Hao-Tsung; Chen, Sheng-Hung; Chao, Chen-Yao; Kiang, Yean-Woei; Yang, Chih-Chung

    2017-02-01

    In MOCVD growth, two key factors for growing a p-type structure, when the modulation growth or delta-doping technique is used, include Mg memory and diffusion. With high-temperature growth (>900 degree C), doped Mg can diffuse into the under-layer. Also, due to the high-pressure growth and growth chamber coating in MOCVD, plenty Mg atoms exist in the growth chamber for a duration after Mg supply is ended. In this situation, Mg doping continues in the following designated un-doped layers. In this paper, we demonstrate the study results of Mg preflow, memory, and diffusion. The results show that pre-flow of Mg into the growth chamber can lead to a significantly higher Mg doping concentration in growing a p-GaN layer. In other words, a duration for Mg buildup is required for high Mg incorporation. Based on SIMS study, we find that with the pre-flow growth, a high- and a low-doping p-GaN layer are formed. The doping concentration difference between the two layers is about 10 times. The thickness of the high- (low-) doping layer is about 40 (65) nm. The growth of the high-doping layer starts 10-15 min after Mg supply starts (Mg buildup time). The diffusion length of Mg into the AlGaN layer beneath (Mg content reduced to doping concentration is reduced to <1%.

  15. Utility of DMSP-SSM/I for integrated water vapour over the Indian seas

    Indian Academy of Sciences (India)

    R. Narasimhan (Krishtel eMaging Solutions)

    Recent algorithms for Special Sensor Microwave/Imager (DMSP-SSM/I) satellite data are used for estimating integrated water vapour over the Indian seas. Integrated water vapour obtained from these algorithms is compared with that derived from radiosonde observations at Minicoy and Port. Blair islands. Algorithm-3 of ...

  16. Investigation of chemical vapour deposition diamond detectors by X-ray micro-beam induced current and X-ray micro-beam induced luminescence techniques

    International Nuclear Information System (INIS)

    Olivero, P.; Manfredotti, C.; Vittone, E.; Fizzotti, F.; Paolini, C.; Lo Giudice, A.; Barrett, R.; Tucoulou, R.

    2004-01-01

    Tracking detectors have become an important ingredient in high-energy physics experiments. In order to survive the harsh detection environment of the large hadron collider (LHC), trackers need to have special properties. They must be radiation hard, provide fast collection of charge, be as thin as possible and remove heat from readout electronics. The unique properties of diamond allow it to fulfill these requirements. In this work we present an investigation of the charge transport and luminescence properties of 'detector grade' artificial chemical vapour deposition (CVD) diamond devices developed within the CERN RD42 collaboration, performed by means of X-ray micro-beam induced current collection (XBICC) and X-ray micro-beam induced luminescence (XBIL) techniques. XBICC technique allows quantitative estimates of the transport parameters of the material to be evaluated and mapped with micrometric spatial resolution. In particular, the high resolution and sensitivity of the technique has allowed a quantitative study of the inhomogeneity of the charge transport parameter defined as the product of mobility and lifetime for both electron and holes. XBIL represents a technique complementary to ion beam induced luminescence (IBIL), which has already been used by our group, since X-ray energy loss profile in the material is different from that of MeV ions. X-ray induced luminescence maps have been performed simultaneously with induced photocurrent maps, to correlate charge transport and induced luminescence properties of diamond. Simultaneous XBICC and XBIL maps exhibit features of partial complementarity that have been interpreted on the basis of considerations on radiative and non-radiative recombination processes which compete with charge transport efficiency

  17. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO{sub 2} thin films to produce a new hybrid material coating

    Energy Technology Data Exchange (ETDEWEB)

    Drevet, R., E-mail: richarddrevet@yahoo.fr [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Dragoé, D.; Barthés-Labrousse, M.G. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Chaussé, A. [Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Andrieux, M. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France)

    2016-10-30

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO{sub 2} thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO{sub 2} thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO{sub 2}) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO{sub 2} layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  18. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO_2 thin films to produce a new hybrid material coating

    International Nuclear Information System (INIS)

    Drevet, R.; Dragoé, D.; Barthés-Labrousse, M.G.; Chaussé, A.; Andrieux, M.

    2016-01-01

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO_2 thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO_2 thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO_2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO_2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  19. New approach for sustaining energetic, efficient and scalable non-equilibrium plasma in water vapours at atmospheric pressure

    International Nuclear Information System (INIS)

    Malik, Muhammad Arif; Schoenbach, Karl H

    2012-01-01

    Energetic and scalable non-equilibrium plasma was formed in pure water vapour at atmospheric pressure between wire-to-strip electrodes on a dielectric surface with one of the electrodes extended forming a conductive plane on the back side of the dielectric surface. The energy deposition increased by an order of magnitude compared with the conventional pulsed corona discharges under the same conditions. The scalability was demonstrated by operating two electrode assemblies with a common conductive plane between two dielectric layers. The energy yields for hydrogen and hydrogen peroxide generation were measured as ∼1.2 g H 2 /kWh and ∼4 g H 2 O 2 /kWh. (fast track communication)

  20. GPS water vapour tomography: preliminary results from the ESCOMPTE field experiment

    Science.gov (United States)

    Champollion, C.; Masson, F.; Bouin, M.-N.; Walpersdorf, A.; Doerflinger, E.; Bock, O.; Van Baelen, J.

    2005-03-01

    Water vapour plays a major role in atmospheric processes but remains difficult to quantify due to its high variability in time and space and the sparse set of available measurements. The GPS has proved its capacity to measure the integrated water vapour at zenith with the same accuracy as other methods. Recent studies show that it is possible to quantify the integrated water vapour in the line of sight of the GPS satellite. These observations can be used to study the 3D heterogeneity of the troposphere using tomographic techniques. We develop three-dimensional tomographic software to model the three-dimensional distribution of the tropospheric water vapour from GPS data. First, the tomographic software is validated by simulations based on the realistic ESCOMPTE GPS network configuration. Without a priori information, the absolute value of water vapour is less resolved as opposed to relative horizontal variations. During the ESCOMPTE field experiment, a dense network of 17 dual frequency GPS receivers was operated for 2 weeks within a 20×20-km area around Marseille (southern France). The network extends from sea level to the top of the Etoile chain (˜700 m high). Optimal results have been obtained with time windows of 30-min intervals and input data evaluation every 15 min. The optimal grid for the ESCOMTE geometrical configuration has a horizontal step size of 0.05°×0.05° and 500 m vertical step size. Second, we have compared the results of real data inversions with independent observations. Three inversions have been compared to three successive radiosonde launches and shown to be consistent. A good resolution compared to the a priori information is obtained up to heights of 3000 m. A humidity spike at 4000-m altitude remains unresolved. The reason is probably that the signal is spread homogeneously over the whole network and that such a feature is not resolvable by tomographic techniques. The results of our pure GPS inversion show a correlation with