WorldWideScience

Sample records for vapor-phase epitaxy hvpe

  1. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  2. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  3. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  4. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  5. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  6. Structural, electrical and luminescent characteristics of ultraviolet light emitting structures grown by hydride vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    A.Y. Polyakov

    2017-03-01

    Full Text Available Electrical and luminescent properties of near-UV light emitting diode structures (LEDs prepared by hydride vapor phase epitaxy (HVPE were studied. Variations in photoluminescence and electroluminescence efficiency observed for LEDs grown under nominally similar conditions could be attributed to the difference in the structural quality (dislocation density, density of dislocations agglomerates of the GaN active layers, to the difference in strain relaxation achieved by growth of AlGaN/AlGaN superlattice and to the presence of current leakage channels in current confining AlGaN layers of the double heterostructure.

  7. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  8. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  9. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  10. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  11. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Hydride vapor phase GaN films with reduced density of residual electrons and deep traps

    International Nuclear Information System (INIS)

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Yugova, T. G.; Cox, H.; Helava, H.; Makarov, Yu.; Usikov, A. S.

    2014-01-01

    Electrical properties and deep electron and hole traps spectra are compared for undoped n-GaN films grown by hydride vapor phase epitaxy (HVPE) in the regular process (standard HVPE samples) and in HVPE process optimized for decreasing the concentration of residual donor impurities (improved HVPE samples). It is shown that the residual donor density can be reduced by optimization from ∼10 17  cm −3 to (2–5) × 10 14  cm −3 . The density of deep hole traps and deep electron traps decreases with decreased donor density, so that the concentration of deep hole traps in the improved samples is reduced to ∼5 × 10 13  cm −3 versus 2.9 × 10 16  cm −3 in the standard samples, with a similar decrease in the electron traps concentration

  13. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  14. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  15. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  16. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  17. Growth of GaN layers using Ga2O vapor obtained from Ga and H2O vapor

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    In this study, we performed growth of GaN layers using Ga 2 O vapor synthesized from Ga and H 2 O vapor. In this process, we employed H 2 O vapor instead of HCl gas in hydride vapor phase epitaxy (HVPE) to synthesize Ga source gas. In the synthesis reaction of Ga 2 O, a Ga 2 O 3 whisker formed and covered Ga, which impeded the synthesis reaction of Ga 2 O. The formation of the Ga 2 O 3 whisker was suppressed in H 2 ambient at high temperatures. Then, we adopted this process to supply a group III precursor and obtained an epitaxial layer. X-ray diffraction (XRD) measurement revealed that the epitaxial layer was single-crystalline GaN. Growth rate increased linearly with Ga 2 O partial pressure and reached 104 µm/h. (author)

  18. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  19. InN layers grown by the HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.L.; Ivantsov, V.; Usikov, A.; Dmitriev, V.A.; Chambard, G.; Ruterana, P.; Davydov, A.V.; Sundaresan, S.G.; Lutsenko, E.; Mudryi, A.V.; Readinger, E.D.; Chern-Metcalfe, G.D.; Wraback, M.

    2008-01-01

    We report on the properties of high quality HVPE InN and on successful subsequent MBE growth of InN layers with improved characteristics on HVPE InN template substrates. InN layers were grown by HVPE on GaN/sapphire HVPE templates. The (00.2) XRD rocking curve of the best InN layer (RC) had the FWHM of about 375 arc sec, being the narrowest XRD RCs ever reported for HVPE InN. Transmission Electron Microscopy (TEM) revealed that at the GaN/InN interface, the threading dislocations that come from GaN were transmitted into the InN layer. We estimated the dislocation density in HVPE grown InN to be in the low 10 9 cm -2 range. Reflection high energy electron diffraction (RHEED) confirmed monocrystalline structure of the InN layers surface. Layers photoluminescence (PL) showed edge emission around 0.8 eV. Hall measured free electron concentration was in the range of 10 19 -10 20 cm -3 and electron mobility was ∝200 cm 2 /V s. MBE growth of InN was performed on the HVPE grown InN template substrate demonstrating the improvement of material quality in the case of homo-epitaxial growth of InN. Demonstration of the high quality HVPE InN materials opens a new way for InN substrate development. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    Science.gov (United States)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  1. InN-based layers grown by modified HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.; Usikov, A.; Soukhoveev, V.; Kovalenkov, O.; Ivantsov, V.; Dmitriev, V.; Collins, C.; Readinger, E.; Shmidt, N.; Davydov, V.; Nikishin, S.; Kuryatkov, V.; Song, D.; Rosenbladt, D.; Holtz, Mark

    2006-01-01

    This paper contains results on InN and InGaN growth by Hydride Vapor Phase Epitaxy (HVPE) on various substrates including sapphire and GaN/sapphire, AlGaN/sapphire, and AlN/sapphire templates. The growth processes are carried out at atmospheric pressure in a hot wall reactor in the temperature range from 500 to 750 and ordm;C. Continuous InN layers are grown on GaN/sapphire template substrates. Textured InN layers are deposited on AlN/sapphire and AlGaN/sapphire templates. Arrays of nano-crystalline InN rods with various shapes are grown directly on sapphire substrates. X-ray diffraction rocking curves for the (002)InN reflection have the full width at half maximum (FWHM) as narrow as 270 arcsec for the nano-rods and 460 arcsec for the continuous layers. In x Ga 1-x N layers with InN content up to 10 mol.% are grown on GaN/sapphire templates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  3. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  4. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  5. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  6. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  7. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  8. Nitrogen doping efficiency during vapor phase epitaxy of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Rowland, L.B.; Brandt, C.D. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States); Burk, A.A. Jr. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States)

    1998-06-01

    This work examines the interrelationships among doping efficiency, mole fraction, and Si/C ratio for intentional doping of 4H-SiC during vapor phase epitaxy using N{sub 2}. For four Si/C ratios, the doping concentration increased linearly as a function of increasing N{sub 2} partial pressure with a slope of 1.0 {+-} 0.03. Variation of propane mole fraction while the SiH{sub 4} and N{sub 2} mole fractions were kept constant revealed two different modes of nitrogen incorporation, corresponding to carbon-rich and silicon-rich conditions. (orig.) 14 refs.

  9. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  10. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    Science.gov (United States)

    2016-09-15

    AFRL-AFOSR-VA-TR-2016-0319 Chirality -Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and...TELEPHONE NUMBER (Include area code) DISTRIBUTION A: Distribution approved for public release. 15-06-2016 final Jun 2014 - Jun 2016 Chirality ...for Public Release; Distribution is Unlimited. In this report, we present our efforts in establishing a novel and effective approach for chirality

  11. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  12. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  13. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  15. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  16. Defect attributed variations of the photoconductivity and photoluminescence in the HVPE and MOCVD as-grown and irradiated GaN structures

    International Nuclear Information System (INIS)

    Gaubas, E.; Pobedinskas, P.; Vaitkus, J.; Uleckas, A.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.; Aujol, E.; Beaumont, B.; Faurie, J.-P.; Gibart, P.

    2005-01-01

    The effect of native and radiation induced defects on the photoconductivity transients and photoluminescence spectra have been examined in GaN epitaxial layers of 2.5 and 12μm thickness grown on bulk n-GaN/sapphire substrates by metal-organic chemical vapor deposition (MOCVD). For comparison, free-standing GaN as-grown samples of 500μm thickness, fabricated by hydride vapor phase epitaxy (HVPE), were investigated. Manifestation of defects induced by 10-keV X-ray irradiation with the dose of 600Mrad and 100-keV neutrons with the fluences of 5x10 14 and 10 16 cm -2 as well as of 24GeV/c protons with fluence 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the native and radiation defects density. Synchronous decrease of the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively, with density of radiation-induced defects is observed. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime in the photoconductivity transients, which is due to excess-carrier multi-trapping. The decay fits the stretched exponent approximation exp[-(t/τ) α ] with the different factors α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The fracton dimension d s of disordered structure changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, and it implies the percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiations

  17. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  18. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    Science.gov (United States)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  19. Metal organic vapor phase epitaxy growth of (Al)GaN heterostructures on SiC/Si(111) templates synthesized by topochemical method of atoms substitution

    DEFF Research Database (Denmark)

    Rozhavskaya, Mariia M.; Kukushkin, Sergey A.; Osipov, Andrey V.

    2017-01-01

    We report a novel approach for metal organic vapor phase epitaxy of (Al)GaN heterostructures on Si substrates. An approximately 90–100 nm thick SiC buffer layer is synthesized using the reaction between Si substrate and CO gas. Highresolution transmission electron microscopy reveals sharp...

  20. Vapor phase epitaxial growth of FeS sub 2 pyrite and evaluation of the carrier collection in liquid-junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Schlichthoerl, G.; Fiechter, S.; Tributsch, H. (Hahn-Meitner-Inst., Abt. Solare Energetik und Materialforschung, Berlin (Germany))

    1992-01-01

    Photoactive epitaxial layers of FeS{sub 2} were grown using bromine as a transport agent and a simple closed ampoule technique. The substrates used were (100)-oriented slices of natural pyrite 1 mm thick. A vapor-liquid-solid (VLS) growth mechanism was elucidated by means of optical microscopy. Macrosteps, terrace surfaces and protuberances are often accompanied with the presence of liquid FeBr{sub 3} droplets. In the absence of a liquid phase growth hillocks are found. Localized photovoltaic response for the evaluation of carrier collection using a scanning laser spot system has been used to effectively locate and characterize non-uniformities present in the epitaxial thin films. (orig.).

  1. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  2. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  3. Growth of AlN films and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Jain, Rakesh B.; Gao, Ying; Zhang, Jianping; Qhaleed Fareed, R.S.; Gaska, Remis [Sensor Electronic Technology, Inc., 1195 Atlas Rd., Columbia, SC 29209 (United States); Li, Jiawei; Arjunan, Arulchakkravarthi; Yang, Jinwei; Asif Khan, M. [Department of Electrical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Kuokstis, Edmundas [MTMI, Vilnius University, Vilnius (Lithuania)

    2006-06-15

    Single crystal AlN layers have been produced by migration enhanced metal organic chemical vapor deposition (MEMOCVD), hydride vapor phase epitaxy (HVPE) and their combination. The growth was carried out on 2'' basal plane sapphire substrates. In MEMOCVD, the duration and waveforms of precursors were varied to achieve better surface mobility and thus better atomic incorporation. It resulted in superior layer quality templates with the narrowest (002) X-ray rocking curve full width half maximum (FWHM). Such high quality AlN templates were used as seeds for subsequent HVPE growth. Thick films with thickness ranging from 1-25 {mu}m have been grown by HVPE with growth rates as high as 200 {mu}m/min, highest ever reported. Films grown by the two methods have been extensively characterized by Nomarski microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), high-resolution X-ray diffractometry (HRXRD), and photoluminescence (PL). (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  5. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  6. High throughput semiconductor deposition system

    Science.gov (United States)

    Young, David L.; Ptak, Aaron Joseph; Kuech, Thomas F.; Schulte, Kevin; Simon, John D.

    2017-11-21

    A reactor for growing or depositing semiconductor films or devices. The reactor may be designed for inline production of III-V materials grown by hydride vapor phase epitaxy (HVPE). The operating principles of the HVPE reactor can be used to provide a completely or partially inline reactor for many different materials. An exemplary design of the reactor is shown in the attached drawings. In some instances, all or many of the pieces of the reactor formed of quartz, such as welded quartz tubing, while other reactors are made from metal with appropriate corrosion resistant coatings such as quartz or other materials, e.g., corrosion resistant material, or stainless steel tubing or pipes may be used with a corrosion resistant material useful with HVPE-type reactants and gases. Using HVPE in the reactor allows use of lower-cost precursors at higher deposition rates such as in the range of 1 to 5 .mu.m/minute.

  7. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Perret, Edith [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; University of Fribourg, Department of Physics and Fribourg Center for Nanomaterials, Chemin du Musée 3, CH-1700 Fribourg, Switzerland; Xu, Dongwei [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Highland, M. J. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Stephenson, G. B. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Zapol, P. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Fuoss, P. H. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Munkholm, A. [Munkholm Consulting, Mountain View, California 94043, USA; Thompson, Carol [Department of Physics, Northern Illinois University, DeKalb, Illinois 60115, USA

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.

  9. Study of Charge Carrier Transport in GaN Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Kuokstis, Edmundas; Meskauskaite, Dovile; Pavlov, Jevgenij; Reklaitis, Ignas

    2016-01-01

    Capacitor and Schottky diode sensors were fabricated on GaN material grown by hydride vapor phase epitaxy and metal-organic chemical vapor deposition techniques using plasma etching and metal deposition. The operational characteristics of these devices have been investigated by profiling current transients and by comparing the experimental regimes of the perpendicular and parallel injection of excess carrier domains. Profiling of the carrier injection location allows for the separation of the bipolar and the monopolar charge drift components. Carrier mobility values attributed to the hydride vapor phase epitaxy (HVPE) GaN material have been estimated as μe = 1000 ± 200 cm2/Vs for electrons, and μh = 400 ± 80 cm2/Vs for holes, respectively. Current transients under injection of the localized and bulk packets of excess carriers have been examined in order to determine the surface charge formation and polarization effects. PMID:28773418

  10. Suppression of metastable-phase inclusion in N-polar (0001¯) InGaN/GaN multiple quantum wells grown by metalorganic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Shojiki, Kanako; Iwabuchi, Takuya; Kuboya, Shigeyuki; Choi, Jung-Hun; Tanikawa, Tomoyuki; Hanada, Takashi; Katayama, Ryuji; Matsuoka, Takashi; Usami, Noritaka

    2015-01-01

    The metastable zincblende (ZB) phase in N-polar (0001 ¯ ) (−c-plane) InGaN/GaN multiple quantum wells (MQWs) grown by metalorganic vapor phase epitaxy is elucidated by the electron backscatter diffraction measurements. From the comparison between the −c-plane and Ga-polar (0001) (+c-plane), the −c-plane MQWs were found to be suffered from the severe ZB-phase inclusion, while ZB-inclusion is negligible in the +c-plane MQWs grown under the same growth conditions. The ZB-phase inclusion is a hurdle for fabricating the −c-plane light-emitting diodes because the islands with a triangular shape appeared on a surface in the ZB-phase domains. To improve the purity of stable wurtzite (WZ)-phase, the optimum conditions were investigated. The ZB-phase is dramatically eliminated with decreasing the V/III ratio and increasing the growth temperature. To obtain much-higher-quality MQWs, the thinner InGaN wells and the hydrogen introduction during GaN barriers growth were tried. Consequently, MQWs with almost pure WZ phase and with atomically smooth surface have been demonstrated

  11. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  12. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. High quality long-wavelength lasers grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine

    International Nuclear Information System (INIS)

    Miller, B.I.; Young, M.G.; Oron, M.; Koren, U.; Kisker, D.

    1990-01-01

    High quality long-wavelength InGaAsP/InP lasers were grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine (TBA) as a substitute for AsH 3 . Electrical and photoluminescence measurements on InGaAs and InGaAsP showed that TBA-grown material was at least as good as AsH 3 material in terms of suitability for lasers. From two wafers grown by TBA, current thresholds I th as low as 11 mA were obtained for a 2-μm-wide semi-insulating blocking planar buried heterostructure laser lasing near 1.3 μm wavelength. The differential quantum efficiencies η D were as high as 21%/facet with a low internal loss α=21 cm -1 . In addition I th as low as 18 mA and η D as high as 18% have been obtained for multiplequantum well lasers at 1.54 μm wavelength. These results show that TBA might be used to replace AsH 3 without compromising on laser performance

  14. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  15. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  16. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  17. Structural and electrical properties of InAs/GaSb superlattices grown by metalorganic vapor phase epitaxy for midwavelength infrared detectors

    Energy Technology Data Exchange (ETDEWEB)

    Arikata, Suguru; Kyono, Takashi [Semiconductor Technologies Laboratory, Sumitomo Electric Industries, LTD., Hyogo (Japan); Miura, Kouhei; Balasekaran, Sundararajan; Inada, Hiroshi; Iguchi, Yasuhiro [Transmission Devices Laboratory, Sumitomo Electric Industries, LTD., Yokohama (Japan); Sakai, Michito [Sensor System Research Group, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Katayama, Haruyoshi [Space Technology Directorate I, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Kimata, Masafumi [College of Science and Engineering, Ritsumeikan University, Shiga (Japan); Akita, Katsushi [Sumiden Semiconductor Materials, LTD., Hyogo (Japan)

    2017-03-15

    InAs/GaSb superlattice (SL) structures were fabricated on GaSb substrates by metalorganic vapor phase epitaxy (MOVPE) toward midwavelength infrared (MWIR) photodiodes. Almost defect-free 200-period SLs with a strain-compensation interfacial layer were successfully fabricated and demonstrate an intense photoluminescence peak centered at 6.1 μm at 4 K and an external quantum efficiency of 31% at 3.5 μm at 20 K. These results indicate that the high-performance MWIR detectors can be fabricated in application with the InAs/GaSb SLs grown by MOVPE as an attractive method for production. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Preparation of 2-in.-diameter (001) β-Ga2O3 homoepitaxial wafers by halide vapor phase epitaxy

    Science.gov (United States)

    Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Sasaki, Kohei; Goto, Ken; Konishi, Keita; Murakami, Hisashi; Kuramata, Akito; Kumagai, Yoshinao; Yamakoshi, Shigenobu

    2017-11-01

    The homoepitaxial growth of thick β-Ga2O3 layers on 2-in.-diameter (001) wafers was demonstrated by halide vapor phase epitaxy. Growth rates of 3 to 4 µm/h were confirmed for growing intentionally Si-doped n-type layers. A homoepitaxial layer with an average thickness and carrier concentration of 10.9 µm and 2.7 × 1016 cm-3 showed standard deviations of 1.8 µm (16.5%) and 0.5 × 1016 cm-3 (19.7%), respectively. Ni Schottky barrier diodes fabricated directly on a 5.3-µm-thick homoepitaxial layer with a carrier concentration of 3.4 × 1016 cm-3 showed reasonable reverse and forward characteristics, i.e., breakdown voltages above 200 V and on-resistances of 3.8-7.7 mΩ cm2 at room temperature.

  19. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  20. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  1. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  2. Influence of the interface on growth rates in AlN/GaN short period superlattices via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-11-01

    AlN/GaN short period superlattices are well suited for a number of applications including, but not limited to, digital alloys, intersubband devices, and emitters. In this work, AlN/GaN superlattices with periodicities ranging from 10 to 20 Å have been grown via metal organic vapor phase epitaxy in order to investigate the influence of the interface on the binary alloy growth rates. The GaN growth rate at the interface was observed to decrease with increasing GaN thickness while the AlN growth rate remained constant. This has been attributed to a decrease in the decomposition rate of GaN at the hetero-interface as seen in other III-V hetero-structures.

  3. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  4. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  5. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  6. Effect of Fe doping on optical properties of freestanding semi-insulating HVPE GaN:Fe

    Czech Academy of Sciences Publication Activity Database

    Gladkov, Petar; Humlíček, J.; Hulicius, Eduard; Šimeček, Tomislav; Paskova, T.; Evans, K.

    2010-01-01

    Roč. 312, č. 8 (2010), s. 1205-1209 ISSN 0022-0248 R&D Projects: GA AV ČR IAA100100719; GA MŠk(CZ) LC06040 Institutional research plan: CEZ:AV0Z20670512; CEZ:AV0Z10100521 Keywords : Fe-doping * Optical characterization * Hybride vapor phase epitaxy * Nitrides Subject RIV: JB - Sensors, Measurment, Regulation Impact factor: 1.737, year: 2010

  7. Effect of gas flow on the selective area growth of gallium nitride via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Kasarla, K. R.; Korakakis, D.

    2007-08-01

    The effect of gas flow on the selective area growth (SAG) of gallium nitride (GaN) grown via metal organic vapor phase epitaxy (MOVPE) has been investigated. In this study, the SAG of GaN was carried out on a silicon dioxide striped pattern along the GaN direction. SAG was initiated with the striped pattern oriented parallel and normal to the incoming gas flow in a horizontal reactor. The orientation of the pattern did not impact cross section of the structure after re-growth as both orientations resulted in similar trapezoidal structures bounded by the (0 0 0 1) and {1 1 2¯ n} facets ( n≈1.7-2.2). However, the growth rates were shown to depend on the orientation of the pattern as the normally oriented samples exhibited enhanced vertical and cross-sectional growth rates compared to the parallel oriented samples. All growths occurred under identical conditions and therefore the difference in growth rates must be attributed to a difference in mass transport of species.

  8. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  9. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  10. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    Science.gov (United States)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  11. Point defect induced degradation of electrical properties of Ga2O3 by 10 MeV proton damage

    Science.gov (United States)

    Polyakov, A. Y.; Smirnov, N. B.; Shchemerov, I. V.; Yakimov, E. B.; Yang, Jiancheng; Ren, F.; Yang, Gwangseok; Kim, Jihyun; Kuramata, A.; Pearton, S. J.

    2018-01-01

    Deep electron and hole traps in 10 MeV proton irradiated high-quality β-Ga2O3 films grown by Hydride Vapor Phase Epitaxy (HVPE) on bulk β-Ga2O3 substrates were measured by deep level transient spectroscopy with electrical and optical injection, capacitance-voltage profiling in the dark and under monochromatic irradiation, and also electron beam induced current. Proton irradiation caused the diffusion length of charge carriers to decrease from 350-380 μm in unirradiated samples to 190 μm for a fluence of 1014 cm-2, and this was correlated with an increase in density of hole traps with optical ionization threshold energy near 2.3 eV. These defects most likely determine the recombination lifetime in HVPE β-Ga2O3 epilayers. Electron traps at Ec-0.75 eV and Ec-1.2 eV present in as-grown samples increase in the concentration after irradiation and suggest that these centers involve native point defects.

  12. Controlling the size of InAs quantum dots on Si1-xGex/Si(0 0 1) by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kawaguchi, Kenichi; Ebe, Hiroji; Ekawa, Mitsuru; Sugama, Akio; Arakawa, Yasuhiko

    2009-01-01

    The formation of III-V InAs quantum dots (QDs) on group-IV Si 1-x Ge x /Si(0 0 1) was investigated by metalorganic vapor-phase epitaxy. Two types of QDs, round-shaped QDs and giant QDs elongated in the [1 1 0] or [1,-1,0] direction, were observed in a growth condition of low V/III ratios. An increase in the V/III ratio and AsH 3 preflow during the cooling process was found to suppress the formation of giant QDs. It was considered that replacing the H-stabilized SiGe surface with the As-stabilized surface was necessary for increasing the QD nucleation. The size and density of InAs QDs on SiGe were controllable as well as that on III-V semiconductor buffer layers, and InAs QDs with a density as high as 5 x 10 10 cm -2 were obtained.

  13. Epitaxial lateral overgrowth of Ga{sub x}In{sub 1-x}P toward direct Ga{sub x}In{sub 1-x}P/Si heterojunction

    Energy Technology Data Exchange (ETDEWEB)

    Omanakuttan, Giriprasanth; Stergiakis, Stamoulis; Sychugov, Ilya; Lourdudoss, Sebastian; Sun, Yan-Ting [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Sahgal, Abhishek [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Department of Physics, Indian Institute of Technology Delhi, New Delhi (India)

    2017-03-15

    The growth of GaInP by hydride vapor phase epitaxy (HVPE) was studied on planar GaAs, patterned GaAs for epitaxial lateral overgrowth (ELOG), and InP/Si seed templates for corrugated epitaxial lateral overgrowth (CELOG). First results on the growth of direct GaInP/Si heterojunction by CELOG is presented. The properties of Ga{sub x}In{sub (1-x)}P layer and their dependence on the process parameters were investigated by X-ray diffraction, including reciprocal lattice mapping (XRD-RLM), scanning electron microscopy equipped with energy-dispersive X-ray spectroscopy (SEM-EDS), photoluminescence (PL), and Raman spectroscopy. The fluctuation of Ga composition in the Ga{sub x}In{sub (1-x)}P layer was observed on planar substrate, and the strain caused by the composition variation is retained until relaxation occurs. Fully relaxed GaInP layers were obtained by ELOG and CELOG. Raman spectroscopy reveals that there is a certain amount of ordering in all of the layers except those grown at high temperatures. Orientation dependent Ga incorporation in the CELOG, but not in the ELOG Ga{sub x}In{sub (1-x)}P layer, and Si incorporation in the vicinity of direct Ga{sub x}In{sub (1-x)}P/Si heterojunction from CELOG are observed in the SEM-EDS analyses. The high optical quality of direct GaInP/Si heterojunction was observed by cross-sectional micro-PL mapping and the defect reduction effect of CELOG was revealed by high PL intensity in GaInP above Si. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  15. Aluminum Nitride Micro-Channels Grown via Metal Organic Vapor Phase Epitaxy for MEMs Applications

    Energy Technology Data Exchange (ETDEWEB)

    Rodak, L.E.; Kuchibhatla, S.; Famouri, P.; Ting, L.; Korakakis, D.

    2008-01-01

    Aluminum nitride (AlN) is a promising material for a number of applications due to its temperature and chemical stability. Furthermore, AlN maintains its piezoelectric properties at higher temperatures than more commonly used materials, such as Lead Zirconate Titanate (PZT) [1, 2], making AlN attractive for high temperature micro and nanoelectromechanical (MEMs and NEMs) applications including, but not limited to, high temperature sensors and actuators, micro-channels for fuel cell applications, and micromechanical resonators. This work presents a novel AlN micro-channel fabrication technique using Metal Organic Vapor Phase Epitaxy (MOVPE). AlN easily nucleates on dielectric surfaces due to the large sticking coefficient and short diffusion length of the aluminum species resulting in a high quality polycrystalline growth on typical mask materials, such as silicon dioxide and silicon nitride [3,4]. The fabrication process introduced involves partially masking a substrate with a silicon dioxide striped pattern and then growing AlN via MOVPE simultaneously on the dielectric mask and exposed substrate. A buffered oxide etch is then used to remove the underlying silicon dioxide and leave a free standing AlN micro-channel. The width of the channel has been varied from 5 ìm to 110 ìm and the height of the air gap from 130 nm to 800 nm indicating the stability of the structure. Furthermore, this versatile process has been performed on (111) silicon, c-plane sapphire, and gallium nitride epilayers on sapphire substrates. Reflection High Energy Electron Diffraction (RHEED), Atomic Force Microscopy (AFM), and Raman measurements have been taken on channels grown on each substrate and indicate that the substrate is influencing the growth of the AlN micro-channels on the SiO2 sacrificial layer.

  16. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    Science.gov (United States)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  17. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  18. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  19. Synthesis and characterization of a liquid Eu precursor (EuCppm2) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Mitchell, Brandon; Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf; Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru; Ofuchi, Hironori; Honma, Tetsuo; Fujiwara, Yasufumi

    2017-01-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu 2+ to Eu 3+ could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  20. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  1. Semiconductor light sources fabricated by vapor phase epitaxial regrowth

    International Nuclear Information System (INIS)

    Powazinik, W.; Olshansky, R.; Meland, E.; Lauer, R.B.

    1986-01-01

    An extremely versatile technique for the fabrication of semiconductor light sources is described. The technique which is based on the halide vapor phase regrowth (VPR) of InP on channeled and selectively etched InGaAsP/InP double heterostructure material, results in a buried heterostructure (BH) index-guided VPR-BH diode laser structure which can be optimized for a number of different types of semiconductor light sources. The conditions and parameters associated with the halide VPR process are given, and the properties of the regrown InP are reported. The processing and characterization of high-frequency lasers with 18-GHz bandwidths and high-power lasers with cw single-spatial-mode powers of 60 mW are described. Additionally, the fabrication and characterization of superluminescent LEDs based on the this basic VPR-BH structure are described. These LEDs are capable of coupling more than 80 μW of optical power into a single-mode fiber at 100 mA, and can couple as much as 8 μW of optical power into a single-mode fiber at drive currents as low as 20 mA

  2. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  3. Tunnel currents produced by defects in p-n junctions of GaAs grown on vapor phase

    International Nuclear Information System (INIS)

    Barrales Guadarrama, V R; Rodríguez Rodriguez, E M; Barrales Guadarrama, R; Reyes Ayala, N

    2017-01-01

    With the purpose of assessing if the epitaxy on vapor phase technique “Close Space Vapor Deposition (CSVT)” is capable of produce thin films with adequate properties in order to manufacture p-n junctions, a study of invert and direct current was developed, in a temperature range of 94K to 293K, to junctions p-n of GaAs grown through the technique CSVT. It is shown that the dominant current, within the range 10 -7 to 10 -2 A, is consistent with a currents model of the type of internal emission form field, which shows these currents are due to the presence of localized states in the band gap. (paper)

  4. Oxygen and minority carrier lifetimes in N-and P-type AL0.2GA0.8AS grown by metal organics vapor phase epitaxy

    International Nuclear Information System (INIS)

    Zahraman, Khaled; Leroux, M.; Gibart, P.; Zaidi, M.A.; Bremond, G.; Guillot, G.

    2000-01-01

    author.The minority carrier lifetimes in Al x Ga 1-x As grown by Metal-Organics Vapor Phase Epitaxy (MOVPE) is generally lower than in GaAs. This is believed to be due to oxygen incorporation in the layers. We describe a study of radiative and non radiative minority carriers lifetimes in n-and p-type Al 0.2 Ga 0.8 As as a function of growth parameters, in correlation with oxygen concentration measurements and deep level transient spectroscopy (DLTS) studies. Long non radiative lifetimes and low oxygen contents are achieved using temperature growth. A main minority hole lifetime killer appears to be 0.4 eV deep O related electron trap detected by DLTS at concentrations three orders of magnitude lower than the atomic oxygen one. Record lifetimes in MOVPE grown n-and p-type Al 0.2 Ga 0.8 As are obtained. An Al 0.85 Ga 0.15 As/Al 0.2 Ga 0.8 As surface recombination velocity lower than 4.5x10 3 cm.s -1 is measured

  5. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  6. Vapor-phase etching of InP using anhydrous HCl and PH/sub 3/ gas

    International Nuclear Information System (INIS)

    Pak, K.; Koide, Y.; Imai, K.; Yoshida, A.; Nakamura, T.; Yasuda, Y.; Nishinaga, T.

    1986-01-01

    In situ etching of the substrate surface for vapor-phase epitaxy is a useful technique for obtaining a smooth and damage-free surface prior to the growth. Previous work showed that the incorporation of in situ etching of InP substrate with anhydrous HCl gas resulted in a significant improvement in the surface morphologies for MOVPE-grown InGaAs/InP and InP epitaxial layers. However, the experiment on the HCl etching of the InP substrate for a wide temperature range has not been performed as yet. In this note, the authors describe the effect of the substrate temperature on the etching morphology of InP substrate by using the anhydrous HCl and PH/sub 3/ gases. In the experiment, they used a standard MOVPE horizontal system. A quartz reactor tube in a 60 mm ID, 60 cm long, was employed

  7. Synthesis and characterization of a liquid Eu precursor (EuCp{sup pm}{sub 2}) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Brandon, E-mail: bmitchell@wcupa.edu [Department of Physics, West Chester University, West Chester, PA, 19383 (United States); Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru [Kojundo Chemical Laboratory Co., Ltd., 5-1-28 Chiyoda, Sakado, Saitama, 350-0284 (Japan); Ofuchi, Hironori; Honma, Tetsuo [Japan Synchrotron Radiation Research Institute (JASRI/SPring-8), 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo, 679-5198 (Japan); Fujiwara, Yasufumi, E-mail: fujiwara@mat.eng.osaka-u.ac.jp [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan)

    2017-06-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu{sup 2+} to Eu{sup 3+} could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  8. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  9. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  10. Photoluminescence and surface photovoltage spectroscopy characterization of highly strained InGaAs/GaAs quantum well structures grown by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Chan, C.H.; Wu, J.D.; Huang, Y.S.; Hsu, H.P.; Tiong, K.K.; Su, Y.K.

    2010-01-01

    Photoluminescence (PL) and surface photovoltage spectroscopy (SPS) are used to characterize a series of highly strained In x Ga 1-x As/GaAs quantum well (QW) structures grown by metal organic vapor phase epitaxy with different indium compositions (0.395 ≤ x ≤ 0.44) in the temperature range of 20 K ≤ T ≤ 300 K. The PL features show redshift in peak positions and broadened lineshape with increasing indium composition. The S-shaped temperature dependent PL spectra have been attributed to carrier localization effect resulting from the presence of indium clusters at QW interfaces. A lineshape fit of features in the differential surface photovoltage (SPV) spectra has been used to determine the transition energies accurately. At temperature below 100 K, the light-hole (LH) related feature shows a significant phase difference as compared to that of heavy-hole (HH) related features. The phase change of the LH feature can be explained by the existence of type-II configuration for the LH valence band and the process of separation of carriers within the QWs together with possible capture by the interface defect traps. A detailed analysis of the observed phenomena enables the identification of spectral features and to evaluate the band lineup of the QWs. The results demonstrate the usefulness of PL and SPS for the contactless and nondestructive characterization of highly strained InGaAs/GaAs QW structures.

  11. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  12. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  14. Photoreflectance study of strained GaAsN/GaAs T-junction quantum wires grown by metal-organic vapor phase epitaxy.

    Science.gov (United States)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Onabe, Kentaro

    2011-12-01

    Strained GaAsN T-junction quantum wires (T-QWRs) with different N contents grown on GaAs by two steps metal-organic vapor phase epitaxy in [001] and [110] directions, namely QW1 and QW2 respectively, have been investigated by photoreflectance (PR) spectroscopy. Two GaAsN T-QWRs with different N contents were formed by T-intersection of (i) a 6.4-nm-thick GaAs0.89N0.011 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2 and (ii) a 5.0-nm-thick GaAs0.985N0.015 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2. An evidence of a one-dimensional structure at T-intersection of the two QWs on the (001) and (110) surfaces was established by PR resonances associated with extended states in all the QW and T-QWR samples. It is found that larger lateral confinement energy than 100 meV in both of [001] and [110] directions were achieved for GaAsN T-QWRs. With increasing temperature, the transition energy of GaAsN T-QWRs decreases with a faster shrinking rate compared to that of bulk GaAs. Optical quality of GaAsN T-QWRs is found to be affected by the N-induced band edge fluctuation, which is the unique characteristic of dilute III-V-nitrides.

  15. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    Science.gov (United States)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  16. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  17. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  18. Magnetic resonance studies of the Mg acceptor in thick free-standing and thin-film GaN

    Science.gov (United States)

    Zvanut, Mary Ellen

    Mg, the only effective p-type dopant for the nitrides, substitutes for Ga and forms an acceptor with a defect level of about 0.16 eV. The magnetic resonance of such a center should be highly anisotropic, yet early work employing both optically detected magnetic resonance (ODMR) and electron paramagnetic resonance (EPR) spectroscopies revealed a defect with a nearly isotropic g-tensor. The results were attributed to crystal fields caused by compensation and/or strain typical of the heteroepitaxially grown films. The theory was supported by observation of the expected highly anisotropic ODMR signature in homoepitaxially grown films in which dislocation-induced non-uniform strain and compensation are reduced. The talk will review EPR measurements of thin films and describe new work which takes advantage of the recently available thick free-standing GaN:Mg substrates grown by hydride vapor phase epitaxy (HVPE) and high nitrogen pressure solution growth (HNPS). Interestingly, the films and HVPE substrates exhibit characteristically different types of EPR signals, and no EPR response could be induced in the HNPS substrates, with or without illumination. In the heteroepitaxial films, a curious angular dependent line-shape is observed in addition to the nearly isotropic g-tensor characteristic of the Mg-related acceptor. On the other hand, the free-standing HVPE crystals reveal a clear signature of a highly anisotropic shallow acceptor center. Comparison with SIMS measurements implies a direct relation to the Mg impurity, and frequency-dependent EPR studies demonstrate the influence of the anisotropic crystal fields. Overall, the measurements of the thick free-standing crystals show that the Mg acceptor is strongly affected by the local environment. The ODMR was performed by Evan Glaser, NRL and the free-standing Mg-doped HVPE crystals were grown by Jacob Leach, Kyma Tech. The work at UAB is supported by NSF Grant No. DMR-1308446.

  19. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.

    2017-02-17

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  20. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.; Bouzidi, M.; Chine, Z.; Toure, A.; Halidou, I.; El Jani, B.; Shakfa, M. K.

    2017-01-01

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  1. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  2. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    OpenAIRE

    Hyoungjeen Jeen; Ho Nyung Lee

    2015-01-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model syste...

  3. Amorphous inclusions during Ge and GeSn epitaxial growth via chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gencarelli, F., E-mail: federica.gencarelli@imec.be [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium); Shimura, Y. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Kumar, A. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Vincent, B.; Moussa, A.; Vanhaeren, D.; Richard, O.; Bender, H. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, W. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Caymax, M.; Loo, R. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, M. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium)

    2015-09-01

    In this work, we discuss the characteristics of particular island-type features with an amorphous core that are developed during the low temperature epitaxial growth of Ge and GeSn layers by means of chemical vapor deposition with Ge{sub 2}H{sub 6}. Although further investigations are needed to unambiguously identify the origin of these features, we suggest that they are originated by the formation of clusters of H and/or contaminants atoms during growth. These would initially cause the formation of pits with crystalline rough facets over them, resulting in ring-shaped islands. Then, when an excess surface energy is overcome, an amorphous phase would nucleate inside the pits and fill them. Reducing the pressure and/or increasing the growth temperature can be effective ways to prevent the formation of these features, likely due to a reduction of the surface passivation from H and/or contaminant atoms. - Highlights: • Island features with amorphous cores develop during low T Ge(Sn) CVD with Ge{sub 2}H{sub 6.} • These features are thoroughly characterized in order to understand their origin. • A model is proposed to describe the possible evolution of these features. • Lower pressures and/or higher temperatures avoid the formation of these features.

  4. Vapor Compressor Driven Hybrid Two-Phase Loop, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This Small Business Innovation Research Phase I project will demonstrate a vapor compressor driven hybrid two-phase loop technology. The hybrid two-phase loop...

  5. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    Science.gov (United States)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  6. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  7. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  8. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  9. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)

    2016-04-25

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.

  10. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  11. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  13. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  14. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  15. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  16. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  17. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  18. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  19. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  20. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  1. The liquid to vapor phase transition in excited nuclei

    Energy Technology Data Exchange (ETDEWEB)

    Elliott, J.B.; Moretto, L.G.; Phair, L.; Wozniak, G.J.; Beaulieu, L.; Breuer, H.; Korteling, R.G.; Kwiatkowski, K.; Lefort, T.; Pienkowski, L.; Ruangma, A.; Viola, V.E.; Yennello, S.J.

    2001-05-08

    For many years it has been speculated that excited nuclei would undergo a liquid to vapor phase transition. For even longer, it has been known that clusterization in a vapor carries direct information on the liquid-vapor equilibrium according to Fisher's droplet model. Now the thermal component of the 8 GeV/c pion + 197 Au multifragmentation data of the ISiS Collaboration is shown to follow the scaling predicted by Fisher's model, thus providing the strongest evidence yet of the liquid to vapor phase transition.

  2. Shock wave of vapor-liquid two-phase flow

    Institute of Scientific and Technical Information of China (English)

    Liangju ZHAO; Fei WANG; Hong GAO; Jingwen TANG; Yuexiang YUAN

    2008-01-01

    The shock wave of vapor-liquid two-phase flow in a pressure-gain steam injector is studied by build-ing a mathematic model and making calculations. The results show that after the shock, the vapor is nearly com-pletely condensed. The upstream Mach number and the volume ratio of vapor have a great effect on the shock. The pressure and Mach number of two-phase shock con-form to the shock of ideal gas. The analysis of available energy shows that the shock is an irreversible process with entropy increase.

  3. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  4. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Science.gov (United States)

    Jeen, Hyoungjeen; Lee, Ho Nyung

    2015-12-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  5. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Directory of Open Access Journals (Sweden)

    Hyoungjeen Jeen

    2015-12-01

    Full Text Available Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx epitaxially grown by pulsed laser epitaxy (PLE as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ. We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  6. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  7. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  8. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  9. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  10. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  11. Electrical performance of phase change memory cells with Ge3Sb2Te6 deposited by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella; Boniardi, Mattia; Redaelli, Andrea

    2015-01-01

    Here, we report on the electrical characterization of phase change memory cells containing a Ge 3 Sb 2 Te 6 (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles

  12. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  13. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  14. Vapor phase versus liquid phase grafting of meso-porous alumina

    NARCIS (Netherlands)

    Sripathi, V.G.P.; Mojet, Barbara; Nijmeijer, Arian; Benes, Nieck Edwin

    2013-01-01

    Functionalization of meso-porous c-alumina has been performed by grafting of 3-Aminopropyltrimethoxysilane (3APTMS) simultaneously from either the liquid phase or from the vapor phase. In both cases, after grafting nitrogen physisorption indicates that the materials remain meso-porous with

  15. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  16. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. High purity liquid phase epitaxial gallium arsenide nuclear radiation detector

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.

    1991-11-01

    Surface barrier radiation detector made from high purity liquid phase epitaxial gallium arsenide wafers have been operated as X- and γ-ray detectors at various operating temperatures. Low energy isotopes are resolved including 241 Am at 40 deg C. and the higher gamma energies of 235 U at -80 deg C. 15 refs., 1 tab., 6 figs

  18. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    Science.gov (United States)

    Chen, Tai-Chou Papo

    high temperatures (800˜1050°C) in order to increase the solubility of nitrogen into the free Al on the surface of the growing film. The films were found to have smooth surface morphology with narrow on-axis X-ray diffraction (XRD) rocking curves and relatively broad off-axis XRD rocking curves attributed to the lack of a buffer layer during the film growth. The device aspect of this work involves the material formation and the device fabrication of Indium Gallium Nitride (InGaN) based LEDs on textured GaN templates produced spontaneously by either hydride vapor phase epitaxy (HVPE) or using a method of natural lithography and reactive ion etching. This part of the work includes the film deposition and characterization of InGaNJGaN quantum wells on smooth and textured GaN template.

  19. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  20. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  1. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  2. Liquid phase epitaxy of gallium arsenide - a review

    International Nuclear Information System (INIS)

    Alexiev, D.; Edmondson, M.; Butcher, K.S.A.; Tansley, T.

    1992-07-01

    Liquid phase epitaxy of gallium arsenide has been investigated intensively from the late 1960's to the present and has now a special place in the manufacture of wide band, compound semiconductor radiation detectors. Although this particular process appears to have gained prominence in the last three decades, the authors point out that its origins reach back to 1836 when Frankenheim made his first observations. A brief review is presented from a semiconductor applications point of view on how this subject developed. 70 refs., 5 figs

  3. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  4. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  5. Toxicity of vapor phase petroleum contaminants to microbial degrader communities

    International Nuclear Information System (INIS)

    Long, S.C.; Davey, C.A.

    1994-01-01

    Petroleum products constitute the largest quantity of synthetic organic chemical products produced in the US. They are comprised of mostly hydrocarbon constituents from many different chemical classes including alkenes, cycloalkanes, aromatic compounds, and polyaromatic hydrocarbons. Many petroleum constituents are classified as volatile organic compounds or VOCs. Petroleum products also constitute a major portion of environmental pollution. One emerging technology, with promise for applications to VOCs in subsurface soil environments, is bioventing coupled with soil vapor extraction. These technologies involve volatilization of contaminants into the soil gas phase by injection and withdrawal of air. This air movement causes enhancement of the aerobic microbial degradation of the mobilized vapors by the indigenous populations. This study investigated the effects of exposure of mixed, subsurface microbial communities to vapor phase petroleum constituents or vapors of petroleum mixtures. Soil slurries were prepared and plated onto mineral salts agar plates and exposed to vapor phase contaminants at equilibrium with pure product. Representative n-alkane, branched alkane, cycloalkane, and aromatic compounds were tested as well as petroleum product mixtures. Vapor exposure altered the numbers and morphologies of the colonies enumerated when compared to controls. However, even at high, equilibrium vapor concentrations, microbial degrader populations were not completely inhibited

  6. Self-Catalyzed Growth of Axial GaAs/GaAsSb Nanowires by Molecular Beam Epitaxy for Photodetectors

    Science.gov (United States)

    2015-06-01

    MOVPE Metal organic vapor phase epitaxy NCA Nano Channel Aluminum NW Nanowire PL Photoluminescence PMMA Poly methyl methacrylate...GaAs (111) B substrate. The NWs were grown using a nanochannel alumina ( NCA ) template. It was later shown by Dubrovskii et al. [16], that the NWs... cathode gun. The type of signals produced are secondary electron (SE), back scattered electron (BSE), characteristic X- rays, specimen current and

  7. Structural evolution of epitaxial SrCoO{sub x} films near topotactic phase transition

    Energy Technology Data Exchange (ETDEWEB)

    Jeen, Hyoungjeen [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Department of Physics, Pusan National University, Busan, 609735 (Korea, Republic of); Lee, Ho Nyung, E-mail: hnlee@ornl.gov [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States)

    2015-12-15

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO{sub x}) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO{sub 2.5} thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO{sub 3-δ}). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  8. Spin Filtering in Epitaxial Spinel Films with Nanoscale Phase Separation

    KAUST Repository

    Li, Peng

    2017-05-08

    The coexistence of ferromagnetic metallic phase and antiferromagnetic insulating phase in nanoscaled inhomogeneous perovskite oxides accounts for the colossal magnetoresistance. Although the model of spin-polarized electron transport across antiphase boundaries has been commonly employed to account for large magnetoresistance (MR) in ferrites, the magnetic anomalies, the two magnetic phases and enhanced molecular moment, are still unresolved. We observed a sizable MR in epitaxial spinel films (NiCo2O4-δ) that is much larger than that commonly observed in spinel ferrites. Detailed analysis reveals that this MR can be attributed to phase separation, in which the perfect ferrimagnetic metallic phase and ferrimagnetic insulating phase coexist. The magnetic insulating phase plays an important role in spin filtering in these phase separated spinel oxides, leading to a sizable MR effect. A spin filtering model based on Zeeman effect and direct tunneling is developed to account for MR of the phase separated films.

  9. Electrical performance of phase change memory cells with Ge{sub 3}Sb{sub 2}Te{sub 6} deposited by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Boniardi, Mattia; Redaelli, Andrea [Micron Semiconductor Italia S.r.l., Via C. Olivetti, 2, 20864, Agrate Brianza, MB (Italy)

    2015-01-12

    Here, we report on the electrical characterization of phase change memory cells containing a Ge{sub 3}Sb{sub 2}Te{sub 6} (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles.

  10. Surface passivation of liquid phase epitaxial GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Mo, L.; Edmondson, M.

    1995-10-01

    Passivation of the liquid phase epitaxial GaAs surface was attempted using aqueous P 2 S 5 -NH 4 OH, (NH 4 ) 2 S x and plasma nitrogenation and hydrogenation. Results indicate that plasma nitrogenation with pretreatment of plasma hydrogenation produced consistent reduction in reverse leakage current at room temperature for all p and n type Schottky diodes. Some diodes showed an order of magnitude improvement in current density. (NH 4 ) 2 S x passivation also results in improved I-V characteristics, though the long term stability of this passivation is questionable. 26 refs., 6 figs

  11. InGaAs/InP, quantum wells and quantum wires grown by vapor levitation epitaxy using chloride transport

    International Nuclear Information System (INIS)

    Cox, H.M.; Morais, P.C.; Hwang, D.M.; Bastos, P.; Gmitter, T.J.; Nazar, L.; Worlock, J.M.; Yablonovitch, E.; Hummel, S.G.

    1988-09-01

    A variety of InGaAs/InP quantum structures have been grown by vapor levitation epitaxy (VLE) and investigated by low temperature photoluminescence (PL). Excellent long-range uniformity of QW peak positions across a two-inch diameter wafer is achieved. Monolayer thickness variations in single QW's are used to establish an essentially unambiguous correlation of QW thickness with energy upshift for ultra-thin quantum wells. PL evidence is presented of the growth, for the first time by any technique, of an InGaAs/InP QW of single monolayer thickness (2.93 (angstrom)). Quantum wires were fabricated entirely by VLE as thin as one monolayer and estimated to be three unit cells wide. (author) [pt

  12. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  13. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  14. Fluorine incorporation during Si solid phase epitaxy

    International Nuclear Information System (INIS)

    Impellizzeri, G.; Mirabella, S.; Romano, L.; Napolitani, E.; Carnera, A.; Grimaldi, M.G.; Priolo, F.

    2006-01-01

    We have investigated the F incorporation and segregation in preamorphized Si during solid phase epitaxy (SPE) at different temperatures and for several implanted-F energies and fluences. The Si samples were amorphized to a depth of 550 nm by implanting Si at liquid nitrogen temperature and then enriched with F at different energies (65-150 keV) and fluences (0.07-5 x 10 14 F/cm 2 ). Subsequently, the samples were regrown by SPE at different temperatures: 580, 700 and 800 deg. C. We have found that the amount of F incorporated after SPE strongly depends on the SPE temperature and on the energy and fluence of the implanted-F, opening the possibility to tailor the F profile during SPE

  15. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  16. Fundamentals of Friction and Vapor Phase Lubrication

    National Research Council Canada - National Science Library

    Gellman, Andrew

    2004-01-01

    This is the final report for the three year research program on "Fundamentals of Friction and Vapor Phase Lubrication" conducted at Carnegie Mellon with support from AFOSR grant number F49630-01-1-0069...

  17. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  18. Vapor phase elemental sulfur amendment for sequestering mercury in contaminated soil

    Science.gov (United States)

    Looney, Brian B.; Denham, Miles E.; Jackson, Dennis G.

    2014-07-08

    The process of treating elemental mercury within the soil is provided by introducing into the soil a heated vapor phase of elemental sulfur. As the vapor phase of elemental sulfur cools, sulfur is precipitated within the soil and then reacts with any elemental mercury thereby producing a reaction product that is less hazardous than elemental mercury.

  19. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  20. Biodegradation of vapor-phase toluene in unsaturated porous media: Column experiments

    International Nuclear Information System (INIS)

    Khan, Ali M.; Wick, Lukas Y.; Harms, Hauke; Thullner, Martin

    2016-01-01

    Biodegradation of organic chemicals in the vapor phase of soils and vertical flow filters has gained attention as promising approach to clean up volatile organic compounds (VOC). The drivers of VOC biodegradation in unsaturated systems however still remain poorly understood. Here, we analyzed the processes controlling aerobic VOC biodegradation in a laboratory setup mimicking the unsaturated zone above a shallow aquifer. The setup allowed for diffusive vapor-phase transport and biodegradation of three VOC: non-deuterated and deuterated toluene as two compounds of highly differing biodegradability but (nearly) identical physical and chemical properties, and MTBE as (at the applied experimental conditions) non-biodegradable tracer and internal control. Our results showed for toluene an effective microbial degradation within centimeter VOC transport distances despite high gas-phase diffusivity. Degradation rates were controlled by the reactivity of the compounds while oxic conditions were found everywhere in the system. This confirms hypotheses that vadose zone biodegradation rates can be extremely high and are able to prevent the outgassing of VOC to the atmosphere within a centimeter range if compound properties and site conditions allow for sufficiently high degradation rates. - Highlights: • The column setup allows resolving vapor-phase VOC concentration gradients at cm scale resolution. • Vapor-phase and liquid-phase concentrations are measured simultaneously. • Isotopically labelled VOC was used as reference species of low biodegradability. • Biodegradation rates in the unsaturated zone can be very high and act at a cm scale. • Unsaturated material can be an effective bio-barrier avoiding biodegradable VOC emissions. - Microbial degradation activity can be sufficient to remove VOC from unsaturated porous media after a few centimeter of vapor-phase diffusive transport and mayeffectively avoid atmospheric emissions.

  1. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  2. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  3. Direct Vapor-Phase Bromination of Multiwall Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Ilya Mazov

    2012-01-01

    Full Text Available We present the simple procedure of the vapor-phase bromination of multiwall carbon nanotubes (MWNTs at moderate temperatures. MWNTs with average diameter 9±3 nm were treated with Br2 vapors at 250°C to produce Br-functionalized product. Transmission electron microscopy analysis was used to prove low damage of MWNT walls during bromination. X-ray photoelectron spectroscopy (XPS and differential thermal analysis (DTA were used to investigate chemical composition of the surface of initial and brominated nanotubes. The experimental results show that the structure of MWNTs is not affected by the bromination process and the total amount of Br-containing surface functions reaches 2.5 wt. %. Electrophysical properties of initial and brominated MWNTs were investigated showing decrease of conductivity for functionalized sample. Possible mechanism of the vapor-phase bromination via surface defects and oxygen-containing functional groups was proposed according to data obtained. Additional experiments with bromination of annealed low-defected MWNTs were performed giving Br content a low as 0.75 wt. % proving this hypothesis.

  4. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  5. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  6. Optical investigation of atomic steps in ultra-thin InGaAs/InP quantum wells grown by vapor levitation epitaxy

    International Nuclear Information System (INIS)

    Morais, P.C.

    1988-09-01

    Ultra-thin InGaAs/InP single-quantum-well structures, grown by chloride transport vapor levitation epitaxy, have been investigated by low temperature photoluminescence (PL). Well resolved peaks are observed in the PL spectra which we attribute to monolayer (a/2=2.93 A) variations in quantum well (QW) thickness. Separate peak positions for QW thicknesses corresponding to 2-6 monolayers have been determined, providing an unambiguous thickness calibration for spectral shifts due to quantum confinement. The PL peak corresponding to two monolayers occurs at 1.314 eV corresponding to an energy shift of 524 meV. Experimental data agree very well with a simple effective-mass theory. (author) [pt

  7. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  8. Phase relationship, vaporization, and thermodynamic properties of the lanthanum--boron system

    International Nuclear Information System (INIS)

    Storms, E.; Mueller, B.

    1978-01-01

    The La-B system was studied between LaB/sub 4.24/ and LaB/sub 29.2/, and between 1400 and 2100 K to determine the phase relationship, the chemical activity of the components, the vaporization rate, and the vapor composition. A blue colored phase near LaB 9 was found to exist between purple colored LaB 6 and elemental boron. Diffusion is so much slower than vaporization that large composition differences can exist between the surface and the interior which, nevertheless, produce a steady state loss rate from freely vaporizing material. The flux at 1700 K is 6 x 10 -10 g/cm 2 s for LaB 4 +LaB 6 and 7 x 10 -11 g/cm 2 s for LaB 6 + LaB 9 . There is an activation energy which lowers the vaporization rate of boron from LaB 6 . Freely vaporizing material will have a steady state surface composition between LaB/sub 6.04/ and LaB/sub 6.07/, depending on temperature, purity, and interior composition. The free energy of formation of LaB 6 is (0.07lT - 351)kJ/mol between 1700 and 2100 K

  9. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  10. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  11. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  12. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  13. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  14. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  15. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  16. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  17. The effect of vadose zone heterogeneities on vapor phase migration and aquifer contamination by volatile organics

    Energy Technology Data Exchange (ETDEWEB)

    Seneviratne, A.; Findikakis, A.N. [Bechtel Corporation, San Francisco, CA (United States)

    1995-03-01

    Organic vapors migrating through the vadose zone and inter-phase transfer can contribute to the contamination of larger portions of aquifers than estimated by accounting only for dissolved phase transport through the saturated zone. Proper understanding of vapor phase migration pathways is important for the characterization of the extent of both vadose zone and the saturated zone contamination. The multiphase simulation code T2VOC is used to numerically investigate the effect of heterogeneties on the vapor phase migration of chlorobenzene at a hypothetical site where a vapor extraction system is used to remove contaminants. Different stratigraphies consisting of alternate layers of high and low permeability materials with soil properties representative of gravel, sandy silt and clays are evaluated. The effect of the extent and continuity of low permeability zones on vapor migration is evaluated. Numerical simulations are carried out for different soil properties and different boundary conditions. T2VOC simulations with zones of higher permeability were made to assess the role of how such zones in providing enhanced migration pathways for organic vapors. Similarly, the effect of the degree of saturation of the porous medium on vapor migration was for a range of saturation values. Increased saturation reduces the pore volume of the medium available for vapor diffusion. Stratigraphic units with higher aqueous saturation can retard the vapor phase migration significantly.

  18. Electrocatalytic reduction of oxygen at vapor phase polymerized ...

    African Journals Online (AJOL)

    We successfully polymerized poly(3,4-ethylenedioxidethiophene) by vapor phase polymerization technique on rotating glassy carbon disk electrode. The catalytic activity of this electrode towards oxygen reduction reaction was investigated and showed remarkable activity. Rotating disk voltammetry was used to study the ...

  19. The nuclear liquid-vapor phase transition: Equilibrium between phases or free decay in vacuum?

    International Nuclear Information System (INIS)

    Phair, L.; Moretto, L.G.; Elliott, J.B.; Wozniak, G.J.

    2002-01-01

    Recent analyses of multifragmentation in terms of Fisher's model and the related construction of a phase diagram brings forth the problem of the true existence of the vapor phase and the meaning of its associated pressure. Our analysis shows that a thermal emission picture is equivalent to a Fisher-like equilibrium description which avoids the problem of the vapor and explains the recently observed Boltzmann-like distribution of the emission times. In this picture a simple Fermi gas thermometric relation is naturally justified. Low energy compound nucleus emission of intermediate mass fragments is shown to scale according to Fisher's formula and can be simultaneously fit with the much higher energy ISiS multifragmentation data

  20. Study of optical properties of bulk GaN crystals grown by HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Gu, Hong; Ren, Guoqiang; Zhou, Taofei; Tian, Feifei; Xu, Yu; Zhang, Yumin; Wang, Mingyue; Zhang, Zhiqiang [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Cai, Demin [Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China); Wang, Jianfeng [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China); Xu, Ke, E-mail: kxu2006@sinano.ac.cn [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China)

    2016-07-25

    We investigated the optical properties of a series of GaN samples sliced from the same bulk crystal grown using hydride vapor phase epitaxy. The high crystalline quality of the samples was evaluated using cathodoluminescence measurements, and the dislocation density ranged from 2.4 × 10{sup 6} to 2.3 × 10{sup 5} cm{sup −2}. The impurity concentration was determined using secondary-ion mass spectroscopy, and photoluminescence (PL) measurements were conducted in the range of 3–300 K. We did not find a correlation between the O or C impurities and the weak yellow luminescence (YL) band. As the dislocation density decreased, the intensity of the band edge emission increased and that of the YL band decreased. A competition between the two-electron satellite lines correlated to Si and the YL band was also observed in the low-temperature PL spectra, which demonstrated that the Si impurity also plays an important role in the weak YL band of these GaN samples. These results indicate that the Si donors around the dislocations, as reasonable sources of shallow donors, will recombine with possible deep acceptors and finally respond with the YL. - Highlights: • The investigated samples were sliced from the same bulk crystal. • No correlation between the O or C impurities and the weak YL band is observed. • A well-regulated relationship between the YL band and the dislocations is found. • A competition between the TES-Si lines and the YL band is discussed. • The dislocations trapping Si impurity is suggested to be responsible for YL band.

  1. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  2. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  3. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  4. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  5. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  6. Liquid phase epitaxy of abrupt junctions in InAs and studies of injection radiative tunneling processes

    International Nuclear Information System (INIS)

    Bull, D.J.

    1977-01-01

    The p-n junction in a InAs crystal, by liquid phase epitaxy is obtained. The processes of injection and tunneling radiative recombination by emitted radiation from active region of p-n junction for low injection current are studied. (M.C.K.) [pt

  7. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  8. Vapor-phase biofiltration: Laboratory and field experience

    International Nuclear Information System (INIS)

    Evans, P.J.; Bourbonais, K.A.; Peterson, L.E.; Lee, J.H.; Laakso, G.L.

    1995-01-01

    Application of vapor-phase bioreactors (VPBs) to petroleum hydrocarbons is complicated by the different mass transfer characteristics of aliphatics and aromatics. Laboratory- and pilot-scale VPB studies were conducted to evaluate treatment of soil vapor extraction (SVE) off-gas. A mixture of compost, perlite, and activated carbon was the selected medium based on pressure drop, microbial colonization, and adsorption properties. Two different pilot-scale reactors were built with a difference of 70:1 in scale. The smaller VPB's maximum effective elimination capacity (EC) was determined to be 7.2 g m -3 h -1 ; the larger unit's EC was 70% to 80% of this value. Low EC values may be attributable to a combination of mass-transfer and kinetic limitations

  9. Phase-field model of vapor-liquid-solid nanowire growth

    Science.gov (United States)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  10. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  11. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  12. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  13. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  14. Hybrid vapor phase-solution phase growth techniques for improved CZT(S,Se) photovoltaic device performance

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Liang-Yi; Gershon, Talia S.; Haight, Richard A.; Lee, Yun Seog

    2016-12-27

    A hybrid vapor phase-solution phase CZT(S,Se) growth technique is provided. In one aspect, a method of forming a kesterite absorber material on a substrate includes the steps of: depositing a layer of a first kesterite material on the substrate using a vapor phase deposition process, wherein the first kesterite material includes Cu, Zn, Sn, and at least one of S and Se; annealing the first kesterite material to crystallize the first kesterite material; and depositing a layer of a second kesterite material on a side of the first kesterite material opposite the substrate using a solution phase deposition process, wherein the second kesterite material includes Cu, Zn, Sn, and at least one of S and Se, wherein the first kesterite material and the second kesterite material form a multi-layer stack of the absorber material on the substrate. A photovoltaic device and method of formation thereof are also provided.

  15. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C; Edwards, Paul R.; O'Donnell, Kevin Peter; Boćkowski, Michał X.; Alves, Eduardo Jorge; Roqan, Iman S.; Vantomme, André ; Lorenz, Katharina

    2014-01-01

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C

    2014-01-20

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  18. Influence of soil properties on vapor-phase sorption of trichloroethylene

    International Nuclear Information System (INIS)

    Bekele, Dawit N.; Naidu, Ravi; Chadalavada, Sreenivasulu

    2016-01-01

    Highlights: • Vapor intrusion is a major exposure pathway for volatile hydrocarbons. • Certainty in transport processes enhances vapor intrusion model precision. • Detailed understanding of vadose zone vapor transport processes save resources. • Vapor sorption near-steady-state conditions at sites may take months or years. • Type of clay fractions equitably affects sorption of trichloroethylene vapor. - Abstract: Current practices in health risk assessment from vapor intrusion (VI) using mathematical models are based on assumptions that the subsurface sorption equilibrium is attained. The time required for sorption to reach near-steady-state conditions at sites may take months or years to achieve. This study investigated the vapor phase attenuation of trichloroethylene (TCE) in five soils varying widely in clay and organic matter content using repacked columns. The primary indicators of TCE sorption were vapor retardation rate (R_t), the time required for the TCE vapor to pass through the soil column, and specific volume of retention (V_R), and total volume of TCE retained in soil. Results show TCE vapor retardation is mainly due to the rapid partitioning of the compound to SOM. However, the specific volume of retention of clayey soils with secondary mineral particles was higher. Linear regression analyses of the SOM and clay fraction with V_R show that a unit increase in clay fraction results in higher sorption of TCE (V_R) than the SOM. However, partitioning of TCE vapor was not consistent with the samples' surface areas but was mainly a function of the type of secondary minerals present in soils.

  19. Influence of soil properties on vapor-phase sorption of trichloroethylene

    Energy Technology Data Exchange (ETDEWEB)

    Bekele, Dawit N. [Global Center for Environmental Remediation, University of Newcastle, Callaghan, NSW 2308 (Australia); CRC for Contamination Assessment & Remediation of the Environment, Building X (Environmental Sciences Building), University of South Australia, Mawson Lakes, SA 5095 (Australia); Naidu, Ravi, E-mail: Ravi.Naidu@newcastle.edu.au [Global Center for Environmental Remediation, University of Newcastle, Callaghan, NSW 2308 (Australia); CRC for Contamination Assessment & Remediation of the Environment, Building X (Environmental Sciences Building), University of South Australia, Mawson Lakes, SA 5095 (Australia); Chadalavada, Sreenivasulu [Global Center for Environmental Remediation, University of Newcastle, Callaghan, NSW 2308 (Australia); CRC for Contamination Assessment & Remediation of the Environment, Building X (Environmental Sciences Building), University of South Australia, Mawson Lakes, SA 5095 (Australia)

    2016-04-05

    Highlights: • Vapor intrusion is a major exposure pathway for volatile hydrocarbons. • Certainty in transport processes enhances vapor intrusion model precision. • Detailed understanding of vadose zone vapor transport processes save resources. • Vapor sorption near-steady-state conditions at sites may take months or years. • Type of clay fractions equitably affects sorption of trichloroethylene vapor. - Abstract: Current practices in health risk assessment from vapor intrusion (VI) using mathematical models are based on assumptions that the subsurface sorption equilibrium is attained. The time required for sorption to reach near-steady-state conditions at sites may take months or years to achieve. This study investigated the vapor phase attenuation of trichloroethylene (TCE) in five soils varying widely in clay and organic matter content using repacked columns. The primary indicators of TCE sorption were vapor retardation rate (R{sub t}), the time required for the TCE vapor to pass through the soil column, and specific volume of retention (V{sub R}), and total volume of TCE retained in soil. Results show TCE vapor retardation is mainly due to the rapid partitioning of the compound to SOM. However, the specific volume of retention of clayey soils with secondary mineral particles was higher. Linear regression analyses of the SOM and clay fraction with V{sub R} show that a unit increase in clay fraction results in higher sorption of TCE (V{sub R}) than the SOM. However, partitioning of TCE vapor was not consistent with the samples' surface areas but was mainly a function of the type of secondary minerals present in soils.

  20. MEMS Lubrication by In-Situ Tribochemical Reactions From the Vapor Phase.

    Energy Technology Data Exchange (ETDEWEB)

    Dugger, Michael Thomas; Asay, David B.; Kim, Seong H.

    2008-01-01

    Vapor Phase Lubrication (VPL) of silicon surfaces with pentanol has been demonstrated. Two potential show stoppers with respect to application of this approach to real MEMS devices have been investigated. Water vapor was found to reduce the effectiveness of VPL with alcohol for a given alcohol concentration, but the basic reaction mechanism observed in water-free environments is still active, and devices operated much longer in mixed alcohol and water vapor environments than with chemisorbed monolayer lubricants alone. Complex MEMS gear trains were successfully lubricated with alcohol vapors, resulting in a factor of 104 improvement in operating life without failure. Complex devices could be made to fail if operated at much higher frequencies than previously used, and there is some evidence that the observed failure is due to accumulation of reaction products at deeply buried interfaces. However, if hypothetical reaction mechanisms involving heated surfaces are valid, then the failures observed at high frequency may not be relevant to operation at normal frequencies. Therefore, this work demonstrates that VPL is a viable approach for complex MEMS devices in conventional packages. Further study of the VPL reaction mechanisms are recommended so that the vapor composition may be optimized for low friction and for different substrate materials with potential application to conventionally fabricated, metal alloy parts in weapons systems. Reaction kinetics should be studied to define effective lubrication regimes as a function of the partial pressure of the vapor phase constituent, interfacial shear rate, substrate composition, and temperature.

  1. Vaporization study on vanadium monoxide and two-phase mixture of vanadium and vanadium monoxide by mass-spectrometric method

    International Nuclear Information System (INIS)

    Banchorndhevakul, W.; Matsui, Tsuneo; Naito, Keiji

    1986-01-01

    The vapor pressures over single phase vanadium monoxide VO 1.022 (s) and the two-phase mixture of vanadium metal (β phase) and vanadium monoxide were measured by mass-spectrometric method in the temperature range of 1,803 ∼ 1,990 and 1,703 ∼ 1,884 K, respectively. The main gas species over both systems were found to be VO(g) and V(g). The vapor pressure of VO(g) over the two-phase mixture of V(s) and VO(s) was a little lower than that over single phase VO(s). The vapor pressure of V(g) over the two-phase mixture was nearly equal to that over single phase. From the vapor pressure data, the enthalpies of vaporization, the enthalpies of formation for VO(g) and V(g) and the dissociation energy of VO(g) were determined. The oxygen partial pressure was calculated as a function of temperature from the vapor pressures of VO(g) and V(g), from which the partial molar enthalpies and entropies of oxygen in both systems were obtained. (author)

  2. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  3. Vapor-phase synthesis and characterization of ZnSe nanoparticles

    Science.gov (United States)

    Sarigiannis, D.; Pawlowski, R. P.; Peck, J. D.; Mountziaris, T. J.; Kioseoglou, G.; Petrou, A.

    2002-06-01

    Compound semiconductor nanoparticles are an exciting class of materials whose unique optical and electronic properties can be exploited in a variety of applications, including optoelectronics, photovoltaics, and biophotonics. The most common route for synthesizing such nanoparticles has been via liquid-phase chemistry in reverse micelles. This paper discusses a flexible vapor-phase technique for synthesis of crystalline compound semiconductor nanoparticles using gas-phase condensation reactions near the stagnation point of a counterflow jet reactor. ZnSe nanoparticles were formed by reacting vapors of dimethylzinc: triethylamine adduct and hydrogen selenide at 120Torr and room temperature (28°C). No attempt was made to passivate the surface of the particles, which were collected as random aggregates on silicon wafers or TEM grids placed downstream of the reaction zone. Particle characterization using TEM, electron diffraction, Raman and EDAX revealed that the aggregates consisted of polycrystalline ZnSe nanoparticles, almost monodisperse in size (with diameters of ~40nm). The polycrystalline nanoparticles appear to have been formed by coagulation of smaller single-crystalline nanoparticles with characteristic size of 3-5 run.

  4. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  5. Influence of vapor phase turbulent stress to the onset of slugging in a horizontal pipe

    International Nuclear Information System (INIS)

    Park, Jee Won

    1995-01-01

    An influence of the vapor phase turbulent stress(i, e., the two-phase Reynolds stress)to the characteristics of two-phase system in a horizontal pipe has been theoretically investigated. The average two-fluid model has been constituted with closure relations for stratified flow in a horizontal pipe. A vapor phase turbulent stress model for the regular interface geometry has been included. It is found that the second order waves propagate in opposite direction with almost the same speed in the moving frame of reference of the liquid phase velocity. Using the well-posedness limit of the two-phase system, the dispersed-stratified flow regime boundary has been modeled. Two-phase Froude number has been found to be a convenient parameter in quantifying the onset of slugging as a function of the global void fraction. The influence of the vapor phase turbulent stress was found to stabilize the flow stratification. 4 figs., 12 refs. (Author)

  6. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films

    Directory of Open Access Journals (Sweden)

    Huaping Wu

    2016-01-01

    Full Text Available The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110 orientation leads to a lower symmetry and more complicated phase transition than the (111 orientation in BaTiO3 films. The increase of compressive strain will dramatically enhance the Curie temperature TC of (110-oriented BaTiO3 films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110- and (111-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  7. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  8. Improved thermal lattice Boltzmann model for simulation of liquid-vapor phase change

    Science.gov (United States)

    Li, Qing; Zhou, P.; Yan, H. J.

    2017-12-01

    In this paper, an improved thermal lattice Boltzmann (LB) model is proposed for simulating liquid-vapor phase change, which is aimed at improving an existing thermal LB model for liquid-vapor phase change [S. Gong and P. Cheng, Int. J. Heat Mass Transfer 55, 4923 (2012), 10.1016/j.ijheatmasstransfer.2012.04.037]. First, we emphasize that the replacement of ∇ .(λ ∇ T ) /∇.(λ ∇ T ) ρ cV ρ cV with ∇ .(χ ∇ T ) is an inappropriate treatment for diffuse interface modeling of liquid-vapor phase change. Furthermore, the error terms ∂t 0(T v ) +∇ .(T vv ) , which exist in the macroscopic temperature equation recovered from the previous model, are eliminated in the present model through a way that is consistent with the philosophy of the LB method. Moreover, the discrete effect of the source term is also eliminated in the present model. Numerical simulations are performed for droplet evaporation and bubble nucleation to validate the capability of the model for simulating liquid-vapor phase change. It is shown that the numerical results of the improved model agree well with those of a finite-difference scheme. Meanwhile, it is found that the replacement of ∇ .(λ ∇ T ) /∇ .(λ ∇ T ) ρ cV ρ cV with ∇ .(χ ∇ T ) leads to significant numerical errors and the error terms in the recovered macroscopic temperature equation also result in considerable errors.

  9. electrocatalytic reduction of oxygen at vapor phase polymerized poly ...

    African Journals Online (AJOL)

    Preferred Customer

    ABSTRACT. We successfully polymerized poly(3,4-ethylenedioxidethiophene) by vapor phase polymerization technique on rotating glassy carbon disk electrode. The catalytic activity of this electrode towards oxygen reduction reaction was investigated and showed remarkable activity. Rotating disk voltammetry was used to ...

  10. Liquid-Vapor Phase Transition: Thermomechanical Theory, Entropy Stable Numerical Formulation, and Boiling Simulations

    Science.gov (United States)

    2015-05-01

    vapor bubbles may generate near blades [40]. This is the phenomenon of cavitation and it is still a limiting factor for ship propeller design. Phase...van der Waals theory with hydrodynamics [39]. The fluid equations based on the van der Waals theory are called the Navier-Stokes-Korteweg equations... cavitating flows, the liquid- vapor phase transition induced by pressure variations. A potential challenge for such a simulation is a proper design of open

  11. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  12. Liquid-vapor phase transition upon pressure decrease in the lead-bismuth system

    Science.gov (United States)

    Volodin, V. N.

    2009-11-01

    The liquid-vapor phase transitions boundaries were calculated on the basis of the values of vapor pressure of the components in the lead-bismuth system during the stepwise pressure decrease by one order of magnitude from 105 down to 1 Pa. The emergence of azeotropic liquid under pressure lower than 19.3 kPa was ascertained. The emergence of azeotropic mixture near the lead edge of the phase diagram was concluded to be the reason for technological difficulties in the distillation separation of the system into the components in a vacuum.

  13. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Eddaoudi, Mohamed

    2016-01-01

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method

  14. Generalized modeling of multi-component vaporization/condensation phenomena for multi-phase-flow analysis

    International Nuclear Information System (INIS)

    Morita, K.; Fukuda, K.; Tobita, Y.; Kondo, Sa.; Suzuki, T.; Maschek, W.

    2003-01-01

    A new multi-component vaporization/condensation (V/C) model was developed to provide a generalized model for safety analysis codes of liquid metal cooled reactors (LMRs). These codes simulate thermal-hydraulic phenomena of multi-phase, multi-component flows, which is essential to investigate core disruptive accidents of LMRs such as fast breeder reactors and accelerator driven systems. The developed model characterizes the V/C processes associated with phase transition by employing heat transfer and mass-diffusion limited models for analyses of relatively short-time-scale multi-phase, multi-component hydraulic problems, among which vaporization and condensation, or simultaneous heat and mass transfer, play an important role. The heat transfer limited model describes the non-equilibrium phase transition processes occurring at interfaces, while the mass-diffusion limited model is employed to represent effects of non-condensable gases and multi-component mixture on V/C processes. Verification of the model and method employed in the multi-component V/C model of a multi-phase flow code was performed successfully by analyzing a series of multi-bubble condensation experiments. The applicability of the model to the accident analysis of LMRs is also discussed by comparison between steam and metallic vapor systems. (orig.)

  15. Liquid Solution Phase Epitaxial Growth of Al-doped f-SiC for LEDs

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; van der Eijk, Casper

    light quality and longer lifespan, compared to the current yellow phosphor based white LEDs. Liquid phase epitaxy technology is able to yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium process. In addition, the technological equipment...... are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  16. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  17. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  18. Growth and characterization of visible diode lasers

    International Nuclear Information System (INIS)

    Shealy, J.R.; Bour, D.P.

    1988-01-01

    The (Al x Ga 1-x )yIn 1-y rho material system, lattice matched to GaAs substrates, has received much attention for use in visible laser diodes emitting in the spectral region λ--650-680 nm. When lattice matched to GaAs (y=0.5), this alloy spans a direct band gap range from --1.85 eV (at x=0) to --2.3 eV (near the T-X crossover at chi--0.7) It was only recently that device quality epitaxial layers have been prepared in this material due to difficulties with liquid phase epitaxial (LPE) and halide vapor phase epitaxial growth.Only organometallic vapor phase epitaxy (OMVPE) and molecular beam epitaxy (MBE) growth techniques have successfully produced AlGainP laser material

  19. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  20. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  1. In situ, subsurface monitoring of vapor-phase TCE using fiber optics

    International Nuclear Information System (INIS)

    Rossabi, J.; Colston, B. Jr.; Brown, S.; Milanovich, F.; Lee, L.T. Jr.

    1993-01-01

    A vapor-phase, reagent-based, fiber optic trichloroethylene (TCE) sensor developed by Lawrence Livermore National Laboratory (LLNL) was demonstrated at the Savannah River Site (SRS) in two configurations. The first incorporated the sensor into a down-well instrument bounded by two inflatable packers capable of sealing an area for discrete depth analysis. The second involved an integration of the sensor into the probe tip of the Army Corps of Engineers Waterways Experiment Station (WES) cone penetrometry system. Discrete depth measurements of vapor-phase concentrations of TCE in the vadose zone were successfully made using both configurations. These measurements demonstrate the first successful in situ sensing (as opposed to sampling) of TCE at a field site

  2. Effect of Si doping on the thermal conductivity of bulk GaN at elevated temperatures – theory and experiment

    Directory of Open Access Journals (Sweden)

    P. P. Paskov

    2017-09-01

    Full Text Available The effect of Si doping on the thermal conductivity of bulk GaN was studied both theoretically and experimentally. The thermal conductivity of samples grown by Hydride Phase Vapor Epitaxy (HVPE with Si concentration ranging from 1.6×1016 to 7×1018 cm-3 was measured at room temperature and above using the 3ω method. The room temperature thermal conductivity was found to decrease with increasing Si concentration. The highest value of 245±5 W/m.K measured for the undoped sample was consistent with the previously reported data for free-standing HVPE grown GaN. In all samples, the thermal conductivity decreased with increasing temperature. In our previous study, we found that the slope of the temperature dependence of the thermal conductivity gradually decreased with increasing Si doping. Additionally, at temperatures above 350 K the thermal conductivity in the highest doped sample (7×1018 cm-3 was higher than that of lower doped samples. In this work, a modified Callaway model adopted for n-type GaN at high temperatures was developed in order to explain such unusual behavior. The experimental data was analyzed with examination of the contributions of all relevant phonon scattering processes. A reasonable match between the measured and theoretically predicted thermal conductivity was obtained. It was found that in n-type GaN with low dislocation densities the phonon-free-electron scattering becomes an important resistive process at higher temperatures. At the highest free electron concentrations, the electronic thermal conductivity was suggested to play a role in addition to the lattice thermal conductivity and compete with the effect of the phonon-point-defect and phonon-free-electron scattering.

  3. Irradiation of fish fillets: Relation of vapor phase reactions to storage quality

    Science.gov (United States)

    Spinelli, J.; Dollar, A.M.; Wedemeyer, G.A.; Gallagher, E.C.

    1969-01-01

    Fish fillets irradiated under air, nitrogen, oxygen, or carbon dioxide atmospheres developed rancidlike flavors when they were stored at refrigerated temperatures. Packing and irradiating under vacuum or helium prevented development of off-flavors during storage.Significant quantities of nitrate and oxidizing substances were formed when oxygen, nitrogen, or air were present in the vapor or liquid phases contained in a Pyrex glass model system exposed to ionizing radiation supplied by a 60Co source. It was demonstrated that the delayed flavor changes that occur in stored fish fillets result from the reaction of vapor phase radiolysis products and the fish tissue substrates.

  4. Effects of anharmonic strain on the phase stability of epitaxial films and superlattices: Applications to noble metals

    International Nuclear Information System (INIS)

    Ozolins, V.; Wolverton, C.; Zunger, A.

    1998-01-01

    Epitaxial strain energies of epitaxial films and bulk superlattices are studied via first-principles total-energy calculations using the local-density approximation. Anharmonic effects due to large lattice mismatch, beyond the reach of the harmonic elasticity theory, are found to be very important in Cu/Au (lattice mismatch 12%), Cu/Ag (12%), and Ni/Au (15%). We find that left-angle 001 right-angle is the elastically soft direction for biaxial expansion of Cu and Ni, but it is left-angle 201 right-angle for large biaxial compression of Cu, Ag, and Au. The stability of superlattices is discussed in terms of the coherency strain and interfacial energies. We find that in phase separating systems such as Cu-Ag the superlattice formation energies decrease with superlattice period, and the interfacial energy is positive. Superlattices are formed easiest on (001) and hardest on (111) substrates. For ordering systems, such as Cu-Au and Ag-Au, the formation energy of superlattices increases with period, and interfacial energies are negative. These superlattices are formed easiest on (001) or (110) and hardest on (111) substrates. For Ni-Au we find a hybrid behavior: superlattices along left-angle 111 right-angle and left-angle 001 right-angle behave like phase separating systems, while for left-angle 110 right-angle they behave like ordering systems. Finally, recent experimental results on epitaxial stabilization of disordered Ni-Au and Cu-Ag alloys, immiscible in the bulk form, are explained in terms of destabilization of the phase separated state due to lattice mismatch between the substrate and constituents. copyright 1998 The American Physical Society

  5. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024 (China); Ma, Xuefu; Zhang, Zheng; Zeng, Jun; Chai, Guozhong [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Wang, Jie [Department of Engineering Mechanics, School of Aeronautics and Astronautics, Zhejiang University, Hangzhou 310027 (China)

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  6. Evidence for extreme partitioning of copper into a magmatic vapor phase

    International Nuclear Information System (INIS)

    Lowenstern, J.B.; Mahood, G.A.; Rivers, M.L.; Sutton, S.R.

    1991-01-01

    The discovery of copper sulfides in carbon dioxide- and chlorine-bearing bubbles in phenocryst-hosted melt inclusions shows that copper resides in a vapor phase in some shallow magma chambers. Copper is several hundred times more concentrated in magmatic vapor than in coexisting pantellerite melt. The volatile behavior of copper should be considered when modeling the volcanogenic contribution of metals to the atmosphere and may be important in the formation of copper porphyry ore deposits

  7. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  8. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  9. Experimental-statistical model of liquid-phase epitaxy for InP/InGaAsP/InP heterostructures

    International Nuclear Information System (INIS)

    Vasil'ev, M.G.; Selin, A.A.; Shelyakin, A.A.

    1985-01-01

    A mathematic model of the process of liquid-phase epitaxy for double InP/InGaAsP/InP heterostructures is constructed using statistical methods of experiment planning. The analysis of the model shows that the degree of In-P system melt supercooling affects considerably the characteristics of double heterostructures

  10. Vaporization thermodynamics of Pd-rich intermediate phases in the Pd–Yb system

    Energy Technology Data Exchange (ETDEWEB)

    Ciccioli, A., E-mail: andrea.ciccioli@uniroma1.it [Dipartimento di Chimica, Sapienza Università di Roma, p.le Aldo Moro 5, 00185 Roma (Italy); Balducci, G.; Gigli, G. [Dipartimento di Chimica, Sapienza Università di Roma, p.le Aldo Moro 5, 00185 Roma (Italy); Provino, A. [Dipartimento di Chimica e Chimica Industriale, Università degli Studi di Genova, via Dodecaneso 31, 16146 Genova (Italy); Istituto SPIN-CNR, Corso Perrone 24, 16152 Genova (Italy); Palenzona, A. [Dipartimento di Chimica e Chimica Industriale, Università degli Studi di Genova, via Dodecaneso 31, 16146 Genova (Italy); Manfrinetti, P. [Dipartimento di Chimica e Chimica Industriale, Università degli Studi di Genova, via Dodecaneso 31, 16146 Genova (Italy); Istituto SPIN-CNR, Corso Perrone 24, 16152 Genova (Italy)

    2016-02-20

    Highlights: • Vaporization equilibria of Pd–Yb intermediate phases investigated by effusion techniques. • Heats of formation of Pd–Yb compounds determined from decomposition/atomization enthalpies. • Phase diagram of the Pd–Yb system re-drawn. • Influence of the Yb valence state on the thermodynamic properties observed. - Abstract: The vaporization thermodynamics of several intermediate phases in the Pd–Yb system was investigated by means of vaporization experiments performed under Knudsen conditions (KEML, Knudsen Effusion Mass Loss). The following thermal decomposition processes were studied in the overall temperature range 819–1240 K and their enthalpy changes determined: 4 PdYb(s) = Pd{sub 4}Yb{sub 3}(s) + Yb(g); 5/3 Pd{sub 4}Yb{sub 3}(s) = 4/3 Pd{sub 5}Yb{sub 3}(s) + Yb(g); 21/13 Pd{sub 5}Yb{sub 3}(s) = 5/13 Pd{sub 21}Yb{sub 10}(s) + Yb(g); 1/3 Pd{sub 21}Yb{sub 10}(s) = 21/9 Pd{sub 3}Yb(s) + Yb(g). Additional measurements were performed by KEMS (Knudsen Effusion Mass Spectrometry) on a Pd-rich two-phase sample, which allowed to detect both Yb(g) and Pd(g) in the vapor phase and to determine the atomization enthalpy of the Pd{sub 3}Yb phase (Pd-rich composition boundary, Pd{sub 3.08}Yb{sub 0.92}): Pd{sub 3.08}Yb{sub 0.92}(s) = 0.92 Yb(g) + 3.08 Pd(g). The enthalpy of formation of this compound was thereafter determined as −68 ± 2 kJ/mol at. and, by combining this value with the decomposition enthalpies derived by KEML, the enthalpies of formation of the studied Pd–Yb intermediate phases were evaluated (kJ/mol at.): −75 ± 4 (Pd{sub 21}Yb{sub 10}), −75 ± 3 (Pd{sub 5}Yb{sub 3}), −73 ± 3 (Pd{sub 4}Yb{sub 3}), and −66 ± 3 (PdYb). A modified version of the Pd–Yb phase diagram is also reported, re-drawn on the basis of literature data and of new experimental information recently become available.

  11. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    Science.gov (United States)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  12. Optimization Problem of Thermal Field on Surface of Revolving Susceptor in Vapor-Phase Epitaxy Reactor

    Science.gov (United States)

    Zhilenkov, A. A.; Chernyi, S. G.; Nyrkov, A. P.; Sokolov, S. S.

    2017-10-01

    Nitrides of group III elements are a very suitable basis for deriving light-emitting devices with the radiating modes lengths of 200-600 nm. The use of such semiconductors allows obtaining full-color RGB light sources, increasing record density of a digital data storage device, getting high-capacity and efficient sources of white light. Electronic properties of such semi-conductors allow using them as a basis for high-power and high-frequency transistors and other electronic devices, the specifications of which are competitive with those of SiC-based devices. Only since 2000, the technology of cultivation of crystals III-N of group has come to the level of wide recognition by both abstract science, and the industry that has led to the creation of the multi-billion dollar market. And this is despite a rather low level of development of the production technology of devices on the basis of III-N of materials. The progress that has happened in the last decade requires the solution of the main problem, constraining further development of this technology today - ensuring cultivation of III-N structures of necessary quality. For this purpose, it is necessary to solve problems of the analysis and optimization of processes in installations of epitaxial growth, and, as a result, optimization of its constructions.

  13. Raman scattering temperature measurements for water vapor in nonequilibrium dispersed two-phase flow

    International Nuclear Information System (INIS)

    Anastasia, C.M.; Neti, S.; Smith, W.R.; Chen, J.C.

    1982-09-01

    The objective of this investigation was to determine the feasibility of using Raman scattering as a nonintrusive technique to measure vapor temperatures in dispersed two-phase flow. The Raman system developed for this investigation is described, including alignment of optics and optimization of the photodetector for photon pulse counting. Experimentally obtained Raman spectra are presented for the following single- and two-phase samples: liquid water, atmospheric nitrogen, superheated steam, nitrogen and water droplets in a high void fraction air/water mist, and superheated water vapor in nonequilibrium dispersed flow

  14. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  15. The influence of liquid/vapor phase change onto the Nusselt number

    Science.gov (United States)

    Popescu, Elena-Roxana; Colin, Catherine; Tanguy, Sebastien

    2017-11-01

    In spite of its significant interest in various fields, there is currently a very few information on how an external flow will modify the evaporation or the condensation of a liquid surface. Although most applications involve turbulent flows, the simpler configuration where a laminar superheated or subcooled vapor flow is shearing a saturated liquid interface has still never been solved. Based on a numerical approach, we propose to characterize the interaction between a laminar boundary layer of a superheated or subcooled vapor flow and a static liquid pool at saturation temperature. By performing a full set of simulations sweeping the parameters space, correlations are proposed for the first time on the Nusselt number depending on the dimensionless numbers that characterize both vaporization and condensation. As attended, the Nusselt number decreases or increases in the configurations involving respectively vaporization or condensation. More unexpected is the behaviour of the friction of the vapor flow on the liquid pool, for which we report that it is weakly affected by the phase change, despite the important variation of the local flow structure due to evaporation or condensation.

  16. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  17. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  18. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  19. Modelling and numerical simulation of liquid-vapor phase transitions

    International Nuclear Information System (INIS)

    Caro, F.

    2004-11-01

    This work deals with the modelling and numerical simulation of liquid-vapor phase transition phenomena. The study is divided into two part: first we investigate phase transition phenomena with a Van Der Waals equation of state (non monotonic equation of state), then we adopt an alternative approach with two equations of state. In the first part, we study the classical viscous criteria for selecting weak solutions of the system used when the equation of state is non monotonic. Those criteria do not select physical solutions and therefore we focus a more recent criterion: the visco-capillary criterion. We use this criterion to exactly solve the Riemann problem (which imposes solving an algebraic scalar non linear equation). Unfortunately, this step is quite costly in term of CPU which prevent from using this method as a ground for building Godunov solvers. That is why we propose an alternative approach two equations of state. Using the least action principle, we propose a phase changing two-phase flow model which is based on the second thermodynamic principle. We shall then describe two equilibrium submodels issued from the relaxations processes when instantaneous equilibrium is assumed. Despite the weak hyperbolicity of the last sub-model, we propose stable numerical schemes based on a two-step strategy involving a convective step followed by a relaxation step. We show the ability of the system to simulate vapor bubbles nucleation. (author)

  20. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  1. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  2. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-01-01

    The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  3. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  4. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  5. Defect structure in m-plane GaN grown on LiAlO{sub 2} using metalorganic and hydride vapour phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Richter, Eberhard; Knauer, Arne; Brunner, Frank; Weyers, Markus [FBH Berlin (Germany); Mogliatenko, Anna; Neumann, Wolfgang [AG Kristallographie, Institut fuer Physik, HU Berlin (Germany); Kneissl, Michael [FBH Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2008-07-01

    The FWHM of symmetric (10 anti 10) XRD rocking curves of m-plane GaN grown on LiAlO{sub 2} is anisotropic. By investigating the microstructure with transmission electron microscopy (TEM) we identified basal plane stacking faults (BSF) and stacking mismatch boundaries (SMB) in the GaN layers. BSFs are aligned in-plane along the a-direction and therefore cause an anisotropic broadening of the FWHM{sub (10 anti 10)} with incidence along [0001]. SMBs have no preferential direction and hence result in an isotropic broadening of the FWHM{sub (10 anti 10)}. We observed that this anisotropy can be reduced by lowering the MOVPE growth temperature. We propose that the lowering of the growth temperature leads to a reduction of BSFs which is accompanied by an increase in SMBs. The MOVPE grown layers were used as templates for the growth of 200 {mu}m thick m-plane GaN layers by HVPE. During HVPE growth the LiAlO{sub 2} substrate thermally decomposed and peeled off after cool-down. On the surface a network of cracks not being aligned to crystallographic directions was found. The layers were not transparent probably due to metallic Ga inclusions and exhibited an asymmetric bow according to the lattice anisotropy of the (100) LiAlO{sub 2} surface.

  6. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Brä se, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Mü llen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wö ll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  7. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan

    2012-12-04

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  8. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Comes, Ryan B. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA; Department of Physics, Auburn University, Auburn AL 36849 USA; Perea, Daniel E. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland WA 99352 USA; Spurgeon, Steven R. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Using complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.

  9. Comparison of cryopreserved human sperm in vapor and liquid phases of liquid nitrogen: effect on motility parameters, morphology, and sperm function.

    Science.gov (United States)

    Punyatanasakchai, Piyaphan; Sophonsritsuk, Areephan; Weerakiet, Sawaek; Wansumrit, Surapee; Chompurat, Deonthip

    2008-11-01

    To compare the effects of cryopreserved sperm in vapor and liquid phases of liquid nitrogen on sperm motility, morphology, and sperm function. Experimental study. Andrology laboratory at Ramathibodi Hospital, Thailand. Thirty-eight semen samples with normal motility and sperm count were collected from 38 men who were either patients of an infertility clinic or had donated sperm for research. Each semen sample was divided into two aliquots. Samples were frozen with static-phase vapor cooling. One aliquot was plunged into liquid nitrogen (-196 degrees C), and the other was stored in vapor-phase nitrogen (-179 degrees C) for 3 days. Thawing was performed at room temperature. Motility was determined by using computer-assisted semen analysis, sperm morphology was determined by using eosin-methylene blue staining, and sperm function was determined by using a hemizona binding test. Most of the motility parameters of sperm stored in the vapor phase were not significantly different from those stored in the liquid phase of liquid nitrogen, except in amplitude of lateral head displacement. The percentages of normal sperm morphology in both vapor and liquid phases also were not significantly different. There was no significant difference in the number of bound sperm in hemizona between sperm cryopreserved in both vapor and liquid phases of liquid nitrogen. Cryopreservation of human sperm in a vapor phase of liquid nitrogen was comparable to cryopreservation in a liquid phase of liquid nitrogen.

  10. Vapor phase carbonylation of dimethyl ether and methyl acetate with supported transition metal catalysts

    International Nuclear Information System (INIS)

    Shikada, T.; Fujimoto, K.; Tominaga, H.O.

    1986-01-01

    The synthesis of acetic acid (AcOH) from methanol (MeOH) and carbon monoxide has been performed industrially in the liquid phase using a rhodium complex catalyst and an iodide promoter. The selectivity to AcOH is more than 99% under mild conditions (175 0 C, 28 atm). The homogeneous rhodium catalyst has been also effective for the synthesis of acetic anhydride (Ac 2 O) by carbonylation of dimethyl ether (DME) or methyl acetate (AcOMe). However, rhodium is one of the most expensive metals and its proved reserves are quite limited. It is highly desired, therefore, to develop a new catalyst as a substitute for rhodium. The authors have already reported that nickel supported on active carbon exhibits an excellent activity for the vapor phase carbonylation of MeOh in the presence of iodide promoter and under moderately pressurized conditions. In addition, corrosive attack on reactors by iodide compounds is expected to be negligible in the vapor phase system. In the present work, vapor phase carbonylation of DME and AcOMe on nickel-active carbon (Ni/A.C.) and molybdenum-active carbon (Mo/A.C.) catalysts was studied

  11. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  12. Finite size and Coulomb corrections: from nuclei to nuclear liquid vapor phase diagram

    International Nuclear Information System (INIS)

    Moretto, L.G.; Elliott, J.B.; Phair, L.

    2003-01-01

    In this paper we consider the problem of obtaining the infinite symmetric uncharged nuclear matter phase diagram from a thermal nuclear reaction. In the first part we shall consider the Coulomb interaction which, because of its long range makes the definition of phases problematic. This Coulomb effect seems truly devastating since it does not allow one to define nuclear phase transitions much above A ∼ 30. However there may be a solution to this difficulty. If we consider the emission of particles with a sizable charge, we notice that a large Coulomb barrier Bc is present. For T << Bc these channels may be considered effectively closed. Consequently the unbound channels may not play a role on a suitably short time scale. Then a phase transition may still be definable in an approximate way. In the second part of the article we shall deal with the finite size problem by means of a new method, the complement method, which shall permit a straightforward extrapolation to the infinite system. The complement approach consists of evaluating the change in free energy occurring when a particle or cluster is moved from one (finite) phase to another. In the case of a liquid drop in equilibrium with its vapor, this is done by extracting a vapor particle of any given size from the drop and evaluating the energy and entropy changes associated with both the vapor particle and the residual liquid drop (complement)

  13. Solid State Transmitters for Water Vapor and Ozone DIAL Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The focus of this Select Phase II program is to build and deliver laser components both for airborne water vapor and ozone DIAL systems. Specifically, Fibertek...

  14. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  15. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman, E-mail: rue2@psu.edu [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  16. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  17. Overview: Homogeneous nucleation from the vapor phase-The experimental science.

    Science.gov (United States)

    Wyslouzil, Barbara E; Wölk, Judith

    2016-12-07

    Homogeneous nucleation from the vapor phase has been a well-defined area of research for ∼120 yr. In this paper, we present an overview of the key experimental and theoretical developments that have made it possible to address some of the fundamental questions first delineated and investigated in C. T. R. Wilson's pioneering paper of 1897 [C. T. R. Wilson, Philos. Trans. R. Soc., A 189, 265-307 (1897)]. We review the principles behind the standard experimental techniques currently used to measure isothermal nucleation rates, and discuss the molecular level information that can be extracted from these measurements. We then highlight recent approaches that interrogate the vapor and intermediate clusters leading to particle formation, more directly.

  18. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  19. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  20. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  1. Aberration corrected STEM of defects in epitaxial n=4 Ruddlesden-Popper phase Can+1MnnO3n+1

    International Nuclear Information System (INIS)

    Wang, P; Bleloch, A L; Goodhew, P J; Yan, L; Niu, H J; Rosseinsky, M J; Chalker, P R

    2008-01-01

    Defects in Ruddlesden-Popper phase CaO·[(CaMnO 3 )] 4 epitaxial films grown on SrTiO 3 (001) by pulsed laser deposition have been investigated using high angle annular dark field imaging in an aberration-corrected STEM. The stacking faults perpendicular and parallel to the substrate formed during the growth are discussed in detail. The desired n = 4 RP phase is imaged and chemically analyzed at the atomic scale using electron energy loss spectroscopy.

  2. Modeling the Non-Equilibrium Process of the Chemical Adsorption of Ammonia on GaN(0001) Reconstructed Surfaces Based on Steepest-Entropy-Ascent Quantum Thermodynamics

    OpenAIRE

    Kusaba, Akira; Li, Guanchen; von Spakovsky, Michael R.; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-01-01

    Clearly understanding elementary growth processes that depend on surface reconstruction is essential to controlling vapor-phase epitaxy more precisely. In this study, ammonia chemical adsorption on GaN(0001) reconstructed surfaces under metalorganic vapor phase epitaxy (MOVPE) conditions (3Ga-H and Nad-H + Ga-H on a 2 × 2 unit cell) is investigated using steepest-entropy-ascent quantum thermodynamics (SEAQT). SEAQT is a thermodynamic-ensemble based, first-principles framework that can predict...

  3. Investigation on orientation, epitaxial growth and microstructure of a-axis-, c-axis-, (103)/(110)- and (113)-oriented YBa2Cu3O7-δ films prepared on (001), (110) and (111) SrTiO3 single crystal substrates by spray atomizing and coprecipitating laser chemical vapor deposition

    Science.gov (United States)

    Zhao, Pei; Wang, Ying; Huang, Zhi liang; Mao, Yangwu; Xu, Yuan Lai

    2015-04-01

    a-axis-, c-axis-, (103)/(110)- and (113)-oriented YBa2Cu3O7-δ (YBCO) films were pareared by spray atomizing and coprecipitating laser chemical vapor deposition. The surface of the a-axis-oriented YBCO film consisted of rectangular needle-like grains whose in-plane epitaxial growth relationship was YBCO [100] // STO [001] (YBCO [001] // STO [100]), and that of the c-axis-oriented YBCO film consisted of dense flat surface with epitaxial growth relationship of YBCO [001] // STO [001] (YBCO [100] //STO [100]). For the (103)/(110)-oriented and (113)-oriented YBCO film, they showed wedge-shaped and triangle-shaped grains, with corresponding in-plane epitaxial growth relationship of YBCO [110] // STO [110] (YBCO [010] // STO [010]) and YBCO [100] // STO [100] (YBCO [113] // STO [111], respectively.

  4. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  5. Liquid Phase Epitaxial Growth of Al-doped f-SiC for White Light-Emitting Diodes

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; can der Eijk, Casper

    efficiency, better light quality and longer lifespan, compared to the current yellow phosphor based white LEDs.Liquid phase epitaxy technology can yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium crystalline growth process. In addition....... The experimental results are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  6. High power ultraviolet light emitting diodes based on GaN/AlGaN quantum wells produced by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-01-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350 nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN/AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN/AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800x800 μm 2 ) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340 nm, the measured differential on-series resistance is 3 Ω with electroluminescence spectrum full width at half maximum of 18 nm. The output power under dc bias saturates at 0.5 mW, while under pulsed operation it saturates at approximately 700 mA to a value of 3 mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350 nm were investigated under dc operation and the output power saturates at 4.5 mW under 200 mA drive current

  7. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  8. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  9. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  10. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    International Nuclear Information System (INIS)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.; Pathangey, B.

    1993-01-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. 58, 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. 65, 2220 (1989)] when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In 0.04 Ga 0.96 As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane

  11. Vapor-phase infrared laser spectroscopy: from gas sensing to forensic urinalysis.

    Science.gov (United States)

    Bartlome, Richard; Rey, Julien M; Sigrist, Markus W

    2008-07-15

    Numerous gas-sensing devices are based on infrared laser spectroscopy. In this paper, the technique is further developed and, for the first time, applied to forensic urinalysis. For this purpose, a difference frequency generation laser was coupled to an in-house-built, high-temperature multipass cell (HTMC). The continuous tuning range of the laser was extended to 329 cm(-1) in the fingerprint C-H stretching region between 3 and 4 microm. The HTMC is a long-path absorption cell designed to withstand organic samples in the vapor phase (Bartlome, R.; Baer, M.; Sigrist, M. W. Rev. Sci. Instrum. 2007, 78, 013110). Quantitative measurements were taken on pure ephedrine and pseudoephedrine vapors. Despite featuring similarities, the vapor-phase infrared spectra of these diastereoisomers are clearly distinguishable with respect to a vibrational band centered at 2970.5 and 2980.1 cm(-1), respectively. Ephedrine-positive and pseudoephedrine-positive urine samples were prepared by means of liquid-liquid extraction and directly evaporated in the HTMC without any preliminary chromatographic separation. When 10 or 20 mL of ephedrine-positive human urine is prepared, the detection limit of ephedrine, prohibited in sports as of 10 microg/mL, is 50 or 25 microg/mL, respectively. The laser spectrometer has room for much improvement; its potential is discussed with respect to doping agents detection.

  12. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  13. Low-temperature liquid-phase epitaxy and optical waveguiding of rare-earth-ion-doped KY(WO4)2 thin layers

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Utke, I.; Ehrentraut, D.; Apostolopoulos, V.; Pollnau, Markus; Garcia-Revilla, S.; Valiente, B.

    2004-01-01

    Crystalline $KY(WO_{4})_{2}$ thin layers doped with different rare-earth ions were grown on b-oriented, undoped $KY(WO_{4})_{2}$ substrates by liquid-phase epitaxy employing a low-temperature flux. The ternary chloride mixture of NaCl, KCl, and CsCl with a melting point of 480°C was used as a

  14. Organic vapor phase composition of sidestream and environmental tobacco smoke from cigarettes

    International Nuclear Information System (INIS)

    Higgins, C.E.; Jenkins, R.A.; Guerin, M.R.

    1987-01-01

    Environmental tobacco smoke (ETS) has received considerable attention because of its contribution to indoor air pollution. While some studies have attempted to estimate the exposure of humans to ETS constituents by extrapolating from information gleaned from investigations of sidestream smoke (SS), few studies have reported a direct comparison between the composition of SS and that of ETS. In the study reported here, the authors describe the relative compositional similarities and differences between the vapor phase of SS and that of ETS. SS was generated under different conditions. Both a new laminar flow chamber, which prevents significant alteration of the near-cigarette environment, and a modified Neurath chamber were used for SS generation. ETS samples were collected from an office environment. Vapor phase samples were collected on multi-media resin sorbent traps and analyzed using thermal desorption gas/liquid chromatography employing flame ionization, nitrogen-specific, and mass selective detection. Influences on the compositional profiles by the manner in which the SS is generated are described, as well as the differences between SS and ETS composition resulting from phase transition

  15. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  17. The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers

    International Nuclear Information System (INIS)

    McCallum, J.C.

    1998-01-01

    The kinetics of dopant-enhanced solid phase epitaxy (SPE) have been measured in buried a-Si layers doped with arsenic. SPE rates were measured over the temperature range 480 - 660 deg C for buried a-Si layers containing ten different As concentrations. In the absence of H-retardation effects, the dopant-enhanced SPE rate is observed to depend linearly on the As concentration over the entire range of concentrations, 1-16 x 10 19 cm -3 covered in the study. The Fermi level energy was calculated as a function of doping and find an equation that can provide good fits to the data. The implications of these results for models of the SPE process is discussed

  18. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  19. Epitaxial growth of mixed conducting layered Ruddlesden–Popper Lan+1NinO3n+1 (n = 1, 2 and 3) phases by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.

    2013-01-01

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time

  20. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    Science.gov (United States)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  1. Properties of ZrN films as substrate masks in liquid phase epitaxial lateral overgrowth of compound semiconductors

    International Nuclear Information System (INIS)

    Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.

    2005-01-01

    The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Misfit strain-film thickness phase diagrams and related electromechanical properties of epitaxial ultra-thin lead zirconate titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Q.Y.; Mahjoub, R. [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia); Alpay, S.P. [Materials Science and Engineering Program and Institute of Materials Science, University of Connecticut, Storrs, CT 06269 (United States); Nagarajan, V., E-mail: nagarajan@unsw.edu.au [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia)

    2010-02-15

    The phase stability of ultra-thin (0 0 1) oriented ferroelectric PbZr{sub 1-x}Ti{sub x}O{sub 3} (PZT) epitaxial thin films as a function of the film composition, film thickness, and the misfit strain is analyzed using a non-linear Landau-Ginzburg-Devonshire thermodynamic model taking into account the electrical and mechanical boundary conditions. The theoretical formalism incorporates the role of the depolarization field as well as the possibility of the relaxation of in-plane strains via the formation of microstructural features such as misfit dislocations at the growth temperature and ferroelastic polydomain patterns below the paraelectric-ferroelectric phase transformation temperature. Film thickness-misfit strain phase diagrams are developed for PZT films with four different compositions (x = 1, 0.9, 0.8 and 0.7) as a function of the film thickness. The results show that the so-called rotational r-phase appears in a very narrow range of misfit strain and thickness of the film. Furthermore, the in-plane and out-of-plane dielectric permittivities {epsilon}{sub 11} and {epsilon}{sub 33}, as well as the out-of-plane piezoelectric coefficients d{sub 33} for the PZT thin films, are computed as a function of misfit strain, taking into account substrate-induced clamping. The model reveals that previously predicted ultrahigh piezoelectric coefficients due to misfit-strain-induced phase transitions are practically achievable only in an extremely narrow range of film thickness, composition and misfit strain parameter space. We also show that the dielectric and piezoelectric properties of epitaxial ferroelectric films can be tailored through strain engineering and microstructural optimization.

  3. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  4. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  5. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  6. Organometallic Vapor-Phase Epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1999-01-01

    This book describes the operation of a particular technique for the production of compound semiconductor materials. It describes how the technique works, how it can be used for the growth of particular materials and structures, and the application of these materials for specific devices. It contains not only a fundamental description of the operation of the technique but also contains lists of data useful for the everyday operation of OMVPE reactors. It also offers specific recipes that can be used to produce a wide range of specific materials, structures, and devices.Key Features* Updated wit

  7. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  8. Growth of gallium nitride based devices on silicon(001) substrates by metalorganic vapor phase epitaxy; Wachstum von Galliumnitrid-basierten Bauelementen auf Silizium(001)-Substraten mittels metallorganischer Gasphasenepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Reiher, Fabian

    2009-02-25

    The main topic of this thesis is to investigate GaN-based layer systems grown by metalorganic vapor phase epitaxy on Si(001) substrates. A temperature shift up to 45 K is measured for a complete device structure on a 2-inch silicon substrate. By using a 40 nm thin LT-AlN-seed layer (680 C), the GaN crystallites on Si(001) substrates are almost oriented with their GaN(10 anti 12)-planes parallel to the Si(001)-plane. A four-fold azimuthal symmetry occurs for these layers, with the GaN[10 anti 11]-direction is aligned parallel to one of the four equivalent left angle 110 right angle -directions, respectively. However, a mono-crystalline and fully coalesced GaN-layer with this crystallographic orientation could not yet been obtained. If a deposition temperature of more than 1100 C is used for the AlN-seed layer, solely the GaN[0001]- growth direction of crystallites occurs in the main GaN layer on Si(001) substrates. These c-axis oriented GaN columns feature two opposite azimuthal alignments that are rotated by 90 with respect to each other and with GaN[11 anti 20] parallel Si[110] and GaN[10 anti 10] parallel Si[110], respectively. By using 4 off-oriented substrates towards the Si[110]-direction, one certain azimuthal texture component can be selected. The critical value of the miscut angle corresponds to theoretical calculations predicting the occurrence of atomic double steps on the Si(001) surface. The achieved crystallographic quality of the GaN layers on Si(001) is characterized by having a tilt of FWHM=0.27 and a twist of FWHM=0.8 of the crystallites, determined by X-ray diffraction. A completely crack-free, up to 2.5 {mu}m thick, and mono-crystalline GaN-template can be realized on Si(001), integrating 4 or 5 LT-AlN-interlayers in the GaN buffer structure. Based on this structure, the first successful implementation of an (InGaN/GaN)-LED on Si(001) is achieved. Furthermore, the possible fabrication of GaN-based FET-structures is demonstrated with a fully

  9. Comparative study on the roles of anisotropic epitaxial strain and chemical doping in inducing the antiferromagnetic insulator phase in manganite films

    Science.gov (United States)

    Jin, Feng; Feng, Qiyuan; Guo, Zhuang; Lan, Da; Wang, Lingfei; Gao, Guanyin; Xu, Haoran; Chen, Binbin; Chen, Feng; Lu, Qingyou; Wu, Wenbin

    2017-11-01

    Epitaxial strain and chemical doping are two different methods that are commonly used to tune the physical properties of epitaxial perovskite oxide films, but their cooperative effects are less addressed. Here we try to tune the phase separation (PS) in (La1-xP rx) 2 /3C a1 /3Mn O3 (0 ≤x ≤0.4 , LPCMO) films via cooperatively controlling the anisotropic epitaxial strain (AES) and the Pr doping. These films are grown simultaneously on NdGa O3(110 ) ,(LaAlO3) 0.3(SrAl0.5Ta0.5O3 ) 0.7(001 ) , and NdGa O3(001 ) substrates with progressively increased in-plane AES, and probed by x-ray diffraction, magnetotransport, and magnetic force microscopy (MFM) measurements. Although it is known that for x =0 the AES can enhance the orthorhombicity of the films yielding a phase diagram with the antiferromagnetic charge-ordered insulator (AF-COI) state induced, which is quite different from the bulk one, we illustrate that the Pr doping can further drive the films towards a more robust COI state. This cooperative effect is reflected by the increasing magnetic fields needed to melt the COI phase as a function of AES and the doping level. More strikingly, by directly imaging the phase competition morphology of the LPCMO /NdGa O3(001 ) films via MFM, we find that during COI melting the PS domain structure is subject to both AES and the quenched disorder. However, in the reverse process, as the magnetic field is decreased, the COI phase reappears and the AES dominates leaving a crystalline-orientation determined self-organized microstructure. This finding suggests that the PS states and the domain configurations can be selectively controlled by the AES and/or the quenched disorder, which may shed some light on the engineering of PS domains for device fabrications.

  10. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  11. For progress in natural science: Materials international investigations of structural phase transformation and THz properties across metal–insulator transition in VO2/Al2O3 epitaxial films

    Directory of Open Access Journals (Sweden)

    Mengmeng Yang

    2015-10-01

    Full Text Available Vanadium dioxide (VO2 epitaxial thin films on (0001-oriented Al2O3 substrates were prepared using radio frequency (RF magnetron sputtering techniques. To study the metal-insulator-transition (MIT mechanism and extend the applications of VO2 epitaxial films at terahertz (THz band, temperature-dependent X-ray diffraction (XRD and THz time domain spectroscopy of the VO2 epitaxial films were performed. Both the lattice constants and THz transmission exhibited a similar and sharp transition that was similar to that observed for the electrical resistance. Consequently, the MIT of the VO2/Al2O3 epitaxial films should be co-triggered by the structural phase transition and electronic transition. Moreover, the very large resistance change (on the order of ~103 and THz response (with a transmission modulation ratio of ~87% in the VO2/Al2O3 epitaxial heterostructures are promising for electrical switch and electro-optical device applications.

  12. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  13. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  14. The liquid phase epitaxy method for the construction of oriented ZIF-8 thin films with controlled growth on functionalized surfaces

    KAUST Repository

    Shekhah, Osama; Eddaoudi, Mohamed

    2013-01-01

    Highly-oriented ZIF-8 thin films with controllable thickness were grown on an -OH-functionalized Au substrate using the liquid phase epitaxy method at room temperature, as evidenced by SEM and PXRD. The adsorption-desorption properties of the resulting ZIF-8 thin film were investigated for various VOCs using the QCM technique. © The Royal Society of Chemistry 2013.

  15. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  16. Composition-induced structural, electrical, and magnetic phase transitions in AX-type mixed-valence cobalt oxynitride epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Jumpei; Oka, Daichi [Department of Chemistry, School of Science, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); Hirose, Yasushi, E-mail: hirose@chem.s.u-tokyo.ac.jp; Yang, Chang; Fukumura, Tomoteru; Hasegawa, Tetsuya [Department of Chemistry, School of Science, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Harayama, Isao; Sekiba, Daiichiro [University of Tsukuba Tandem Accelerator Complex (UTTAC), 1-1-1 Tennoudai, Tsukuba, Ibaraki 305-8577 (Japan)

    2015-12-07

    Synthesis of mid- to late-transition metal oxynitrides is generally difficult by conventional thermal ammonolysis because of thermal instability. In this letter, we synthesized epitaxial thin films of AX-type phase-pure cobalt oxynitrides (CoO{sub x}N{sub y}) by using nitrogen-plasma-assisted pulsed laser deposition and investigated their structural, electrical, and magnetic properties. The CoO{sub x}N{sub y} thin films with 0 ≤ y/(x + y) ≤ 0.63 grown on MgO (100) substrates showed a structural phase transition from rock salt (RS) to zinc blend at the nitrogen content y/(x + y) ∼ 0.5. As the nitrogen content increased, the room-temperature electrical resistivity of the CoO{sub x}N{sub y} thin films monotonically decreased from the order of 10{sup 5} Ω cm to 10{sup −4} Ω cm. Furthermore, we observed an insulator-to-metal transition at y/(x + y) ∼ 0.34 in the RS-CoO{sub x}N{sub y} phase, which has not yet been reported in Co{sup 2+}/Co{sup 3+} mixed-valence cobalt oxides with octahedral coordination. The low resistivity in the RS-CoO{sub x}N{sub y} phase, on the 10{sup −3} Ω cm order, may have originated from the intermediate spin state of Co{sup 3+} stabilized by the lowered crystal field symmetry of the CoO{sub 6−n}N{sub n} octahedra (n = 1, 2,…5). Magnetization measurements suggested that a magnetic phase transition occurred in the RS-CoO{sub x}N{sub y} films during the insulator-to-metal transition. These results demonstrate that low-temperature epitaxial growth is a promising approach for exploring novel electronic functionalities in oxynitrides.

  17. Composition-induced structural, electrical, and magnetic phase transitions in AX-type mixed-valence cobalt oxynitride epitaxial thin films

    International Nuclear Information System (INIS)

    Takahashi, Jumpei; Oka, Daichi; Hirose, Yasushi; Yang, Chang; Fukumura, Tomoteru; Hasegawa, Tetsuya; Nakao, Shoichiro; Harayama, Isao; Sekiba, Daiichiro

    2015-01-01

    Synthesis of mid- to late-transition metal oxynitrides is generally difficult by conventional thermal ammonolysis because of thermal instability. In this letter, we synthesized epitaxial thin films of AX-type phase-pure cobalt oxynitrides (CoO x N y ) by using nitrogen-plasma-assisted pulsed laser deposition and investigated their structural, electrical, and magnetic properties. The CoO x N y thin films with 0 ≤ y/(x + y) ≤ 0.63 grown on MgO (100) substrates showed a structural phase transition from rock salt (RS) to zinc blend at the nitrogen content y/(x + y) ∼ 0.5. As the nitrogen content increased, the room-temperature electrical resistivity of the CoO x N y thin films monotonically decreased from the order of 10 5  Ω cm to 10 −4  Ω cm. Furthermore, we observed an insulator-to-metal transition at y/(x + y) ∼ 0.34 in the RS-CoO x N y phase, which has not yet been reported in Co 2+ /Co 3+ mixed-valence cobalt oxides with octahedral coordination. The low resistivity in the RS-CoO x N y phase, on the 10 −3  Ω cm order, may have originated from the intermediate spin state of Co 3+ stabilized by the lowered crystal field symmetry of the CoO 6−n N n octahedra (n = 1, 2,…5). Magnetization measurements suggested that a magnetic phase transition occurred in the RS-CoO x N y films during the insulator-to-metal transition. These results demonstrate that low-temperature epitaxial growth is a promising approach for exploring novel electronic functionalities in oxynitrides

  18. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  19. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  20. Flows of a Vapor due to Phase Change Processes at the Condensed Phases with Temperature Fields as their Internal Structures

    National Research Council Canada - National Science Library

    Onishi, Yoshimoto; Ooshida, Takeshi

    2005-01-01

    Transient to steady motions of a vapor caused by the evaporation and condensation processes occurring at the condensed phases placed in parallel have been studied based on the Boltzmann equation of BGK type...

  1. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  2. Waste retrieval sluicing system vapor sampling and analysis plan for evaluation of organic emissions, process test phase III

    International Nuclear Information System (INIS)

    SASAKI, L.M.

    1999-01-01

    This sampling and analysis plan identifies characterization objectives pertaining to sample collection, laboratory analytical evaluation, and reporting requirements for vapor samples obtained to address vapor issues related to the sluicing of tank 241-C-106. Sampling will be performed in accordance with Waste Retrieval Sluicing System Emissions Collection Phase III (Jones 1999) and Process Test Plan Phase III, Waste Retrieval Sluicing System Emissions Collection (Powers 1999). Analytical requirements include those specified in Request for Ecology Concurrence on Draft Strategy/Path Forward to Address Concerns Regarding Organic Emissions from C-106 Sluicing Activities (Peterson 1998). The Waste Retrieval Sluicing System was installed to retrieve and transfer high-heat sludge from tank 241-C-106 to tank 241-AY-102, which is designed for high-heat waste storage. During initial sluicing of tank 241-C-106 in November 1998, operations were halted due to detection of unexpected high volatile organic compounds in emissions that exceeded regulatory permit limits. Several workers also reported smelling sharp odors and throat irritation. Vapor grab samples from the 296-C-006 ventilation system were taken as soon as possible after detection; the analyses indicated that volatile and semi-volatile organic compounds were present. In December 1998, a process test (phase I) was conducted in which the pumps in tanks 241-C-106 and 241-AY-102 were operated and vapor samples obtained to determine constituents that may be present during active sluicing of tank 241-C-106. The process test was suspended when a jumper leak was detected. On March 7, 1999, phase I1 of the process test was performed; the sluicing system was operated for approximately 7 hours and was ended using the controlled shutdown method when the allowable amount of solids were transferred to 241-AY-102. The phase II test was successful, however, further testing is required to obtain vapor samples at higher emission levels

  3. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  4. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  5. External fuel vaporization study, phase 2

    Science.gov (United States)

    Szetela, E. J.; Chiappetta, L.

    1981-01-01

    An analytical study was conducted to evaluate the effect of variations in fuel properties on the design of an external fuel vaporizaton system. The fuel properties that were considered included thermal stability, critical temperature, enthalpy a critical conditions, volatility, and viscosity. The design parameters that were evaluated included vaporizer weight and the impact on engine requirement such as maintenance, transient response, performance, and altitude relight. The baseline fuel properties were those of Jet A. The variation in thermal stability was taken as the thermal stability variation for Experimental Referee Broad Specification (ERBS) fuel. The results of the analysis indicate that a change in thermal stability equivalent to that of ERBS would increase the vaporization system weight by 20 percent, decrease oprating time between cleaning by 40 percent and make altitude relight more difficult. An increase in fuel critical temperature of 39 K would require a 40 percent increase in vaporization system weight. The assumed increase in enthalpy and volatility would also increase vaporizer weight by 40 percent and make altitude relight extremely difficult. The variation in fuel viscosity would have a negligible effect on the design parameters.

  6. R-22 vapor explosions

    International Nuclear Information System (INIS)

    Anderson, R.P.; Armstrong, D.R.

    1977-01-01

    Previous experimental and theoretical studies of R-22 vapor explosions are reviewed. Results from two experimental investigations of vapor explosions in a medium scale R-22/water system are reported. Measurements following the drop of an unrestrained mass of R-22 into a water tank demonstrated the existence of two types of interaction behavior. Release of a constrained mass of R-22 beneath the surface of a water tank improved the visual resolution of the system thus allowing identification of two interaction mechansims: at low water temperatures, R-22/water contact would produce immediate violent boiling; at high water temperatures a vapor film formed around its R-22 as it was released, explosions were generated by a surface wave which initiated at a single location and propagated along the vapor film as a shock wave. A new vapor explosion model is proposed, it suggests explosions are the result of a sequence of three independent steps: an initial mixing phase, a trigger and growth phase, and a mature phase where a propagating shock wave accelerates the two liquids into a collapsing vapor layer causing a high velocity impact which finely fragments and intermixes the two liquids

  7. The mechanism of vapor phase hydration of calcium oxide: implications for CO2 capture.

    Science.gov (United States)

    Kudłacz, Krzysztof; Rodriguez-Navarro, Carlos

    2014-10-21

    Lime-based sorbents are used for fuel- and flue-gas capture, thereby representing an economic and effective way to reduce CO2 emissions. Their use involves cyclic carbonation/calcination which results in a significant conversion reduction with increasing number of cycles. To reactivate spent CaO, vapor phase hydration is typically performed. However, little is known about the ultimate mechanism of such a hydration process. Here, we show that the vapor phase hydration of CaO formed after calcination of calcite (CaCO3) single crystals is a pseudomorphic, topotactic process, which progresses via an intermediate disordered phase prior to the final formation of oriented Ca(OH)2 nanocrystals. The strong structural control during this solid-state phase transition implies that the microstructural features of the CaO parent phase predetermine the final structural and physicochemical (reactivity and attrition) features of the product hydroxide. The higher molar volume of the product can create an impervious shell around unreacted CaO, thereby limiting the efficiency of the reactivation process. However, in the case of compact, sintered CaO structures, volume expansion cannot be accommodated in the reduced pore volume, and stress generation leads to pervasive cracking. This favors complete hydration but also detrimental attrition. Implications of these results in carbon capture and storage (CCS) are discussed.

  8. Epitaxial solution deposition of YBa2Cu3O7-6 coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Overmyer, Donald L.; Clem, Paul Gilbert; Siegal, Michael P.; Holesinger, Terry A. (Los Alamos National Laboratory, Los Alamos, NM); Voigt, James A.; Richardson, Jacob J.; Dawley, Jeffrey Todd

    2004-11-01

    A variety of solution deposition routes have been reported for processing complex perovskite-based materials such as ferroelectric oxides and conductive electrode oxides, due to ease of incorporating multiple elements, control of chemical stoichiometry, and feasibility for large area deposition. Here, we report an extension of these methods toward long length, epitaxial film solution deposition routes to enable biaxially oriented YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO)-coated conductors for superconducting transmission wires. Recent results are presented detailing an all-solution deposition approach to YBCO-coated conductors with critical current densities J{sub c} (77 K) > 1 MA/cm{sup 2} on rolling-assisted, biaxially textured, (200)-oriented Ni-W alloy tapes. Solution-deposition methods such as this approach and those of other research groups appear to have promise to compete with vapor phase methods for superconductor electrical properties, with potential advantages for large area deposition and low cost/kA {center_dot} m of wire.

  9. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  10. Availability of MCNP and MATLAB for reconstructing the water-vapor two-phase flow pattern in neutron radiography

    International Nuclear Information System (INIS)

    Feng Qixi; Feng Quanke; Takeshi, K.

    2008-01-01

    The China Advanced Research Reactor (CARR) is scheduled to be operated in the autumn of 2008. In this paper, we report preparations for installing the neutron radiography instrument (NRI) and for utilizing it efficiently. The 2-D relative neutron intensity profiles for the water-vapor two-phase flow inside the tube were obtained using the MCNP code without influence of γ-ray and electronic-noise. The MCNP simulation of the 2-D neutron intensity profile for the water-vapor two-phase flow was demonstrated. The simulated 2-D neutron intensity profiles could be used as the benchmark data base by calibrating part of the data measured by the CARR-NRI. The 3-D objective images allow us to understand the flow pattern more clearly and it is reconstructed using the MATLAB through the threshold transformation techniques. And thus it is concluded that the MCNP code and the MATLAB are very useful for constructing the benchmark data base for the investigation of the water-vapor two-phase flow using the CARR-NRI. (authors)

  11. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa.

    1994-01-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author)

  12. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa

    1994-11-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author).

  13. Metal-organic-vapor-phase-epitaxy and characterization of homoepitaxial ZnO-layers; Metallorganische Gasphasenepitaxie und Charakteriesierung homoepitaktischer ZnO-Schichten

    Energy Technology Data Exchange (ETDEWEB)

    Heinze, Soeren

    2009-03-30

    ZnO is a direct semiconductor with a band gap of 3.37 eV and an exciton binding energy of about 60 meV. By alloying with cadmium or magnesium the band gap can be varied between 2.9 eV and 4 eV, which makes the realization of for instance quantum pot structures. Therefore ZnO is a promising material for optoelectronic applications in the blue and near-ultraviolet spectral range. In spite of world-wide numerous research activities over the last years the realization of p-type ZnO could indeed not sufficiently (i.e. reproduceable and long-time stably) be solved. The ZnO layers of this thesis were fabricated by means of metalorganic gas-phase epitaxy. By means of the studies on heteroepitactically deposed, undoped layers I show the limits of the heteroepitaxy. Although in doping attempts no p-type ZnO could be fabricated. By introduction of a three-stage growth procedure physical properties (morphology, luminescence, crystallographic and electric properties) of the upper ZnO layer could be distinctly improved. On the other hand it was proved that during the fabrication process an electrically high-conductive intermediate layer in the neighbourhood of the substrate/ZnO interface is formed, the formation of which cannot be avoided in the heteroepitaxy. Since about three years ZnO substrates with very good quality are commercially available. Therefore the essential part of this thesis tracts my works on the homoepitaxy of ZnO. For a successful homoepitactical growth a thermal pre-treatment (annealing) of the substrate is necessary. Thereby the substrate is located in a surrounding of ZnO powder and an oxygen atmosphere. The optimal tempering conditions were determined and the influence of these pre-treatment on the physical properties of the substrated were detailedly studied. After the annealing the substrates are suited for the epitaxy. The experiences from the heteroepitaxy could not without more ado be transferred to the homoepitaxy. The quality of the homoepitactical

  14. Characterization of low Al content Al{sub x}Ga{sub 1-x}N epitaxial films grown by atmospheric-pressure MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Toure, A.; Halidou, I.; Benzarti, Z.; Bchetnia, A.; El Jani, B. [Faculte des Sciences, Unite de Recherche sur les Hetero-Epitaxies et Applications, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-Chimie des Materiaux, Faculte des Sciences de Monastir, Unite de Service Commun de Recherche ' ' High Resolution X-ray Diffractometer' ' , 5019 Monastir (Tunisia)

    2012-05-15

    Al{sub x}Ga{sub 1-x}N epitaxial films grown on GaN/sapphire by atmospheric-pressure metalorganic vapor phase epitaxy (AP-MOVPE) using trimethylgallium (TMG) and trimethylaluminum (TMA) as group III precursors have been studied. Two groups of samples were grown. The aluminum (Al) solid composition of Al{sub x}Ga{sub 1-x}N was varied in the range from 0.03 to 0.20 by changing the molar flow ratio [TMA/(TMA + TMG)]. The effect of TMA flow rate, respectively, TMG flow rate, on the growth rate, and Al solid composition is discussed. The structural properties of the alloys have been investigated by high-resolution X-ray diffraction (HRXRD). The optical properties of these samples were investigated by photoluminescence (PL). It is found that on increasing Al solid composition, via an increase of the TMA flow rate, the structural quality is deteriorated and the growth efficiency decreases. On the other hand, when the TMG flow rate is reduced, a decrease of the full width at half-maximum (FWHM) is observed with Al content. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Effect of growth conditions on the biodegradation kinetics of toluene by P. putida 54G in a vapor phase bioreactor

    International Nuclear Information System (INIS)

    Mirpuri, R.; Jones, W.; Krieger, E.; McFeters, G.

    1994-01-01

    Biodegradation of volatile organic compounds such as petroleum hydrocarbons and xenobiotic agents in the vapor phase is a promising new concept in well-head and end-of-pipe treatment which may have wide application where in-situ approaches are not feasible. The microbial degradation of the volatile organics can be carried out in vapor phase bioreactors which contain inert packing materials. Scale-up of these reactors from a bench scale to a pilot plant can best be achieved by the use of a predictive model, the success of which depends on accurate estimates of parameters defined in the model such as biodegradation kinetic and stoichiometric coefficients. The phenomena of hydrocarbon stress and injury may also affect performance of a vapor phase bioreactor. Batch kinetic studies on the biodegradation of toluene by P. Putida 54G will be compared to those obtained from continuous culture studies for both suspended and biofilm cultures of the same microorganism. These results will be compared to the activity of the P. putida 54G biofilm in a vapor phase bioreactor to evaluate the impact of hydrocarbon stress and injury on biodegradative processes

  16. Li vaporization property of two-phase material of Li{sub 2}TiO{sub 3} and Li{sub 2}SiO{sub 3} for tritium breeder

    Energy Technology Data Exchange (ETDEWEB)

    Ogawa, Seiya [Course of Mechanical Engineering, Graduate School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Masuko, Yuki; Kato, Hirokazu; Yuyama, Hayato; Sakai, Yutaro [Department of Prime Mover Engineering, School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Niwa, Eiki; Hashimoto, Takuya [Department of Physics, College of Humanities and Sciences, Nihon University, 3-8-1 Sakurajousui, Setagaya-ku, Tokyo 156-8550 (Japan); Mukai, Keisuke [Department of Nuclear Engineering and Management, School of Engineering, The University of Tokyo, 7-3-1 Bunkyo-ku, Tokyo 113-8656 (Japan); Hosino, Tsuyoshi [Breeding Functional Materials Development Group, Department of Blanket Systems Research, Rokkasho Fusion Institute, Sector of Fusion Research and Development, Japan Atomic Energy Agency, 2-166 Obuch, Omotedate, Rokkasho-mura, Kamikita-gun, Aomori 039-3212 (Japan); Sasaki, Kazuya, E-mail: k_sasaki@tokai-u.jp [Course of Mechanical Engineering, Graduate School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Department of Prime Mover Engineering, School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Course of Mechanical Engineering and Aeronautics and Astronautics, Graduate School of Science and Technology, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan)

    2015-10-15

    Highlights: • We synthesized two phase materials based on Li{sub 2}SiO{sub 3} and Li{sub 2}TiO{sub 3}. • We investigated the Li vaporization property of the two-phase materials. • Li vaporization occurs significantly from only Li{sub 2}SiO{sub 3} grains in the vicinity of the surface of the pellets. • The Li vaporization is remarkable only for an early short time for the vaporization from Li{sub 2}SiO{sub 3} grains at the vicinity of the surface. • The second stable phase added functions effectively for inhibition of the Li vaporization. - Abstract: Li vaporization property of two-phase materials of Li{sub 2}TiO{sub 3} and Li{sub 2}SiO{sub 3} in a working condition for the solid tritium breeder used in the demonstration power plant of fusion reactor was investigated, and the suppression mechanism of the vaporization was considered. The Li vaporization rate from the specimen pellet was measured by gravimetric method, and the change of Li concentration distribution in the pellet was analyzed by time-of-flight secondary ion mass spectrometer. Li was vaporized only from the Li{sub 2}SiO{sub 3} at the vicinity of the surface of the pellet. The remarkable vaporization of Li arose only in an early short time. The inhibition of the vaporization from the Li{sub 2}SiO{sub 3} was successful by adding the small amount of the stable secondary phase of Li{sub 2}TiO{sub 3}.

  17. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Sun, Y. T.; Omanakuttan, G.; Lourdudoss, S.

    2015-01-01

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reduction effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm 2 at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm 2 , an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon

  18. High flux diode packaging using passive microscale liquid-vapor phase change

    Science.gov (United States)

    Bandhauer, Todd; Deri, Robert J.; Elmer, John W.; Kotovsky, Jack; Patra, Susant

    2017-09-19

    A laser diode package includes a heat pipe having a fluid chamber enclosed in part by a heat exchange wall for containing a fluid. Wicking channels in the fluid chamber is adapted to wick a liquid phase of the fluid from a condensing section of the heat pipe to an evaporating section of the heat exchanger, and a laser diode is connected to the heat exchange wall at the evaporating section of the heat exchanger so that heat produced by the laser diode is removed isothermally from the evaporating section to the condensing section by a liquid-to-vapor phase change of the fluid.

  19. Substrate-induced phase of a [1]benzothieno[3,2-b]benzothiophene derivative and phase evolution by aging and solvent vapor annealing.

    Science.gov (United States)

    Jones, Andrew O F; Geerts, Yves H; Karpinska, Jolanta; Kennedy, Alan R; Resel, Roland; Röthel, Christian; Ruzié, Christian; Werzer, Oliver; Sferrazza, Michele

    2015-01-28

    Substrate-induced phases (SIPs) are polymorphic phases that are found in thin films of a material and are different from the single crystal or "bulk" structure of a material. In this work, we investigate the presence of a SIP in the family of [1]benzothieno[3,2-b]benzothiophene (BTBT) organic semiconductors and the effect of aging and solvent vapor annealing on the film structure. Through extensive X-ray structural investigations of spin coated films, we find a SIP with a significantly different structure to that found in single crystals of the same material forms; the SIP has a herringbone motif while single crystals display layered π-π stacking. Over time, the structure of the film is found to slowly convert to the single crystal structure. Solvent vapor annealing initiates the same structural evolution process but at a greatly increased rate, and near complete conversion can be achieved in a short period of time. As properties such as charge transport capability are determined by the molecular structure, this work highlights the importance of understanding and controlling the structure of organic semiconductor films and presents a simple method to control the film structure by solvent vapor annealing.

  20. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  1. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  2. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  3. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  4. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  5. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  6. Vapor-solid-solid growth mechanism driven by an epitaxial match between solid Au Zn alloy catalyst particle and Zn O nano wire at low temperature

    International Nuclear Information System (INIS)

    Campos, Leonardo C.; Tonezzer, Matteo; Ferlauto, Andre S.; Magalhaes-Paniago, Rogerio; Oliveira, Sergio; Ladeira, Luiz O.; Lacerda, Rodrigo G.

    2008-01-01

    Nowadays, the growth of nano materials, like nano wires and nano tubes, is one of the key research areas of nano technology. However, a full picture of the growth mechanism of these quasi-one dimensional systems still needs to be achieved if these materials are to be applied electronics, biology and medicinal fields. Nevertheless, in spite of considerable advances on the growth of numerous nano wires, a clear understanding of the growth mechanism is still controversial and highly discussed. The present work provides a comprehensive picture of the precise mechanism of Zn O vapor-solid-solid (VSS) nano wire growth at low temperatures and gives the fundamental reasons responsible. We demonstrate by using a combination of synchrotron XRD and high resolution TEM that the growth dynamics at low temperatures is not governed by the well-known vapor-liquid solid (VLS) mechanisms. A critical new insight on the driving factor of VSS growth is proposed in which the VSS process occurs by a solid diffusion mechanism that is driven by a preferential oxidation process of the Zn inside the alloy catalyst induced by an epitaxial match between the Zn O(10-10) plane and the γ-Au Zn(222) plane. We believe that these results are not only important for the understanding of Zn O nano wire growth but could also have significant impact on the understanding of growth mechanisms of other nano wire systems. (author)

  7. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    Science.gov (United States)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  8. Epitaxial phase diagrams of SrTiO3, CaTiO3, and SrHfO3: Computational investigation including the role of antiferrodistortive and A -site displacement modes

    Science.gov (United States)

    Angsten, Thomas; Asta, Mark

    2018-04-01

    Ground-state epitaxial phase diagrams are calculated by density functional theory (DFT) for SrTiO3, CaTiO3, and SrHfO3 perovskite-based compounds, accounting for the effects of antiferrodistortive and A -site displacement modes. Biaxial strain states corresponding to epitaxial growth of (001)-oriented films are considered, with misfit strains ranging between -4 % and 4%. Ground-state structures are determined using a computational procedure in which input structures for DFT optimizations are identified as local minima in expansions of the total energy with respect to strain and soft-mode degrees of freedom. Comparison to results of previous DFT studies demonstrates the effectiveness of the computational approach in predicting ground-state phases. The calculated results show that antiferrodistortive octahedral rotations and associated A -site displacement modes act to suppress polarization and reduce the epitaxial strain energy. A projection of calculated atomic displacements in the ground-state epitaxial structures onto soft-mode eigenvectors shows that three ferroelectric and six antiferrodistortive displacement modes are dominant at all misfit strains considered, with the relative contributions from each varying systematically with the strain. Additional A -site displacement modes contribute to the atomic displacements in CaTiO3 and SrHfO3, which serve to optimize the coordination of the undersized A -site cation.

  9. Compact Raman Lidar Measurement of Liquid and Vapor Phase Water Under the Influence of Ionizing Radiation

    Directory of Open Access Journals (Sweden)

    Shiina Tatsuo

    2016-01-01

    Full Text Available A compact Raman lidar has been developed for studying phase changes of water in the atmosphere under the influence of ionization radiation. The Raman lidar is operated at the wavelength of 349 nm and backscattered Raman signals of liquid and vapor phase water are detected at 396 and 400 nm, respectively. Alpha particles emitted from 241Am of 9 MBq ionize air molecules in a scattering chamber, and the resulting ions lead to the formation of liquid water droplets. From the analysis of Raman signal intensities, it has been found that the increase in the liquid water Raman channel is approximately 3 times as much as the decrease in the vapor phase water Raman channel, which is consistent with the theoretical prediction based on the Raman cross-sections. In addition, the radius of the water droplet is estimated to be 0.2 μm.

  10. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  11. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  12. Modelling and numerical simulation of liquid-vapor phase transitions; Modelisation et simulation numerique des transitions de phase liquide-vapeur

    Energy Technology Data Exchange (ETDEWEB)

    Caro, F

    2004-11-15

    This work deals with the modelling and numerical simulation of liquid-vapor phase transition phenomena. The study is divided into two part: first we investigate phase transition phenomena with a Van Der Waals equation of state (non monotonic equation of state), then we adopt an alternative approach with two equations of state. In the first part, we study the classical viscous criteria for selecting weak solutions of the system used when the equation of state is non monotonic. Those criteria do not select physical solutions and therefore we focus a more recent criterion: the visco-capillary criterion. We use this criterion to exactly solve the Riemann problem (which imposes solving an algebraic scalar non linear equation). Unfortunately, this step is quite costly in term of CPU which prevent from using this method as a ground for building Godunov solvers. That is why we propose an alternative approach two equations of state. Using the least action principle, we propose a phase changing two-phase flow model which is based on the second thermodynamic principle. We shall then describe two equilibrium submodels issued from the relaxations processes when instantaneous equilibrium is assumed. Despite the weak hyperbolicity of the last sub-model, we propose stable numerical schemes based on a two-step strategy involving a convective step followed by a relaxation step. We show the ability of the system to simulate vapor bubbles nucleation. (author)

  13. Scintillation efficiency and X-ray imaging with the RE-Doped LuAG thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Tous, Jan; Blazek, Karel; Kucera, Miroslav; Nikl, Martin; Mares, Jiri A.

    2012-01-01

    Very thin scintillator imaging plates have recently become of great interest. In high resolution X-ray radiography, very thin scintillator layers of about 5–20 μm are used to achieve 2D-spatial resolutions below 1 μm. Thin screens can be prepared by mechanical polishing from single crystals or by epitaxial growth on single-crystal substrates using the Liquid Phase Epitaxy technique (LPE). Other types of screens (e.g. deposited powder) do no reach required spatial resolutions. This work compares LPE-grown YAG and LuAG scintillator films doped with different rare earth ions (Cerium, Terbium and Europium). Two different fluxes were used in the LPE growth procedure. These LPE films are compared to YAG:Ce and LuAG:Ce screens made from bulk single crystals. Relative light yield was detected by a highly sensitive CCD camera. Scintillator screens were excited by a micro-focus X-ray source and the generated light was gathered by the CCD camera’s optical system. Scintillator 2D-homogeneity is examined in an X-ray imaging setup also using the CCD camera.

  14. Structural and morphological characterization of fullerite crystals prepared from the vapor phase

    International Nuclear Information System (INIS)

    Haluska, M.; Fejdi, P.; Vybornov, M.; Kuzmany, H.

    1993-01-01

    Crystal structure, habits and surface structures of fullerite crystals prepared from vapor phase were characterized by X-ray analysis, interfacial angle measurements and optical and scanning electron microscopy (SEM). The study of selected C 60 crystals confirmed the fcc structure at room temperature. The crystal habit is determined by two types of morphological faces, namely {100} and {111}. SEM was used for the observation of thermal etched surfaces. (orig.)

  15. Epitaxial Growth of Germanium on Silicon for Light Emitters

    Directory of Open Access Journals (Sweden)

    Chengzhao Chen

    2012-01-01

    Full Text Available This paper describes the role of Ge as an enabler for light emitters on a Si platform. In spite of the large lattice mismatch of ~4.2% between Ge and Si, high-quality Ge layers can be epitaxially grown on Si by ultrahigh-vacuum chemical vapor deposition. Applications of the Ge layers to near-infrared light emitters with various structures are reviewed, including the tensile-strained Ge epilayer, the Ge epilayer with a delta-doping SiGe layer, and the Ge/SiGe multiple quantum wells on Si. The fundamentals of photoluminescence physics in the different Ge structures are discussed briefly.

  16. A clean measurement of the hydrogen retardation of the rate of solid phase epitaxy in silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1999-01-01

    The rate retarding effects of the impurity hydrogen on solid phase epitaxy (SPE) in silicon have yet to be completely understood. Existing measurements of this behaviour do not coincide exactly, however, several features have attained prominence. Firstly, a linear decrease in the SPE rate is detected up until a certain concentration of hydrogen. Subsequent to this point the rate remains almost constant at around half the intrinsic rate. It is conjectured that the hydrogen bonds to and passivates the defects whose agency enables the incorporation of atoms from the amorphous phase to the crystalline. This rate reduction increases until the defect population is saturated. At this point the reduction in rate ceases. Secondly, a dependence on temperature has not been consolidated, in contrast with the trends observed with the doping species. Here a method is proposed for producing a controlled concentration of hydrogen for the advancing amorphous/crystalline interface to encounter during epitaxy. A bubble layer is formed in crystalline silicon approximately 0.6μm beneath the surface through the implantation of hydrogen at 65 keV with fluences of 4 x 10 16 /cm 2 and 3 x 10 16 /cm 2 and annealing for 1 hour at 850 deg C in dry argon. The anneal doesn't out gas all the introduced hydrogen, leaving a remnant gas pressure in the bubbles. The hydrogen implants at the two fluences should yield two samples with different amounts of hydrogen trapped in the bubbles. A buried amorphous layer is created to encompass the bubble layer containing this residual contaminant through silicon self implantation at appropriate energies and fluences. The progress of the front interface of the buried amorphous layer is monitored by time resolved reflectivity (TRR) as SPE is effected at various temperatures

  17. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  18. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  19. Muonium formation and the 'missing fraction' in vapors

    International Nuclear Information System (INIS)

    Fleming, D.G.; Arseneau, D.J.; Garner, D.M.; Senba, M.; Mikula, R.J.

    1983-06-01

    The vapor phase fractional polarizations of positive muons thermalizing as the muonium atom (Psub(M)) and in diamagnetic environments (Psub(D)) has been measured in H 2 O, CH 3 OH, C 6 H 14 , C 6 H 12 , CCl 4 , CHCl 3 , CH 2 Cl 2 and TMS, in order to compare with the corresponding fractions measured in the condensed phases. There is a marked contrast in every case, with the vapor phase results being largely understandable in terms of a charge exchange/hot atom model. Unlike the situation in the corresponding liquids, there is no permanent lost fraction in the vapor phase in the limit of even moderately high pressures (approximately 1 atm); at lower pressures, depolarization is due to hyperfine mixing and is believed to be well understood. For vapor phase CH 3 OH, C 6 H 14 , C 6 H 12 , and TMS the relative fractions are found to be pressure dependent, suggesting the importance of termolecular hot atom (or ion) reactions in the slowing-down process. For vapor phase H 2 O and the chloromethanes, the relative fractions are pressure independent. For CCl 4 , Psub(M) = Psub(D) approximately 0.5 in the vapor phase vs. Psub(D) = 1.0 in the liquid phase; fast thermal reactions of Mu likely contribute significantly to this difference in the liquid phase. For H 2 O, Psub(M) approximately 0.9 and Psub(D) approximately 0.1 in the vapor phase vs. Psub(D) approximately 0.6 and Psub(M) approximately 0.2 in the liquid phase. Water appears to be the one unequivocal case where the basic charge exchange/hot atom model is inappropriate in the condensed phase, suggesting, therefore, that radiation-induced 'spur' effects play a major role

  20. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  1. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  2. The fabrication of quantum wires in silicon utilising the characteristics of solid phase epitaxial regrowth of crystalline silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1998-01-01

    The process of solid phase epitaxy (SPE) in semiconductor materials is one which has been intensively researched due to possible applications in the semiconductor industry. SPE is a solid phase transformation, in which an amorphous layer can be recrystallized either through heating or a combination of heating and ion bombardment. The transformation is believed to occur exclusively at the interface between the amorphous and crystalline layers, with individual atoms from the amorphous phase being incorporated into the crystalline phase by some point defect mechanism. The process has been observed to follow an Arrhenius temperature dependence. A wafer silicon was subjected to a multi-energy silicon implant through a fine nickel grid to amorphise region to a depth of 5μm creating an array of amorphous wells. Metal impurity atoms were then implanted in this region at energy of 500 keV. Samples were examined using an optical microscope and the Alphastep profiler at RMIT. It was confirmed that burgeoning wells were about 2 μm wide and rose about 0.01 μm above the silicon substrate

  3. Growth, structure and phase transitions of epitaxial nanowires of III-V semiconductors

    International Nuclear Information System (INIS)

    Glas, F; Patriarche, G; Harmand, J C

    2010-01-01

    We review and illustrate the impact of TEM on the study of nanowires of non-nitride III-V semiconductors, with particular emphasis on the understanding of the thermodynamics and kinetics of their formation assisted by nano-sized catalyst particles. Besides providing basic information about the morphology of the nanowires and their growth rate as a function of diameter, TEM offers insights into the peculiar crystalline structure that they adopt. We discuss the formation of the unusual wurtzite hexagonal crystalline phase and that of planar stacking defects in these nanowires and show that they are kinetically controlled. We also demonstrate the transformation of wurtzite into cubic sphalerite upon epitaxial burying of the nanowires. Nanowires are particularly interesting in that they allow the fabrication of precisely positioned quantum dots with well-defined geometries. In this respect, we discuss the formation of strained quantum-size inclusions in nanowires, their critical dimensions and the kinetic and thermodynamic factors governing the changes of the crystalline structure that sometimes occur around a hetero-interface.

  4. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  5. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  6. Growth of InP directly on Si by corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian

    2015-01-01

    In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)

  7. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  8. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    Science.gov (United States)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  9. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  10. New mechanism for autocatalytic decomposition of H2CO3 in the vapor phase.

    Science.gov (United States)

    Ghoshal, Sourav; Hazra, Montu K

    2014-04-03

    In this article, we present high level ab initio calculations investigating the energetics of a new autocatalytic decomposition mechanism for carbonic acid (H2CO3) in the vapor phase. The calculation have been performed at the MP2 level of theory in conjunction with aug-cc-pVDZ, aug-cc-pVTZ, and 6-311++G(3df,3pd) basis sets as well as at the CCSD(T)/aug-cc-pVTZ level. The present study suggests that this new decomposition mechanism is effectively a near-barrierless process at room temperature and makes vapor phase of H2CO3 unstable even in the absence of water molecules. Our calculation at the MP2/aug-cc-pVTZ level predicts that the effective barrier, defined as the difference between the zero-point vibrational energy (ZPE) corrected energy of the transition state and the total energy of the isolated starting reactants in terms of bimolecular encounters, is nearly zero for the autocatalytic decomposition mechanism. The results at the CCSD(T)/aug-cc-pVTZ level of calculations suggest that the effective barrier, as defined above, is sensitive to some extent to the levels of calculations used, nevertheless, we find that the effective barrier height predicted at the CCSD(T)/aug-cc-pVTZ level is very small or in other words the autocatalytic decomposition mechanism presented in this work is a near-barrierless process as mentioned above. Thus, we suggest that this new autocatalytic decomposition mechanism has to be considered as the primary mechanism for the decomposition of carbonic acid, especially at its source, where the vapor phase concentration of H2CO3 molecules reaches its highest levels.

  11. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  12. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  13. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.

  14. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  15. Vapor-transport of tungsten and its geologic application

    Energy Technology Data Exchange (ETDEWEB)

    Shibue, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan)

    1988-11-10

    The volatility of tungsten in a hydrous system at elevated temperatures and pressures was examined, and a tentative model for the enrichment of tungsten in hydrothermal solutions for the deposits related to granitic activities was proposed. To produce vapor-saturated solution, 17 or 15ml of 20wt% NaCl solution was introduced into an autoclave. Ca(OH){sub 2} for tungsten and H{sub 2}WO{sub 4} for base metals were used as vapor-captures, and run products were identified by X-ray powder diffractometry. The results suggested that the ratio of tungsten to base metals was higher in a vapor phase than in a liquid phase, and more enrichment of tungsten in the vapor phase occurred at higher temperature and pressure under the coexistence of the vapor and liquid phase. The tentative model emphasizing the vapor-transport of tungsten could explain the presence of tungsten deposits without large mineralization of base metals. Geological schematic model for the generation of the hydrothermal solution enriched in tungsten compared with base metals was illustrated based on above mentioned results. 21 refs., 3 figs.

  16. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    Science.gov (United States)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  17. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  18. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  19. Phase diagram of nanoscale alloy particles used for vapor-liquid-solid growth of semiconductor nanowires.

    Science.gov (United States)

    Sutter, Eli; Sutter, Peter

    2008-02-01

    We use transmission electron microscopy observations to establish the parts of the phase diagram of nanometer sized Au-Ge alloy drops at the tips of Ge nanowires (NWs) that determine their temperature-dependent equilibrium composition and, hence, their exchange of semiconductor material with the NWs. We find that the phase diagram of the nanoscale drop deviates significantly from that of the bulk alloy, which explains discrepancies between actual growth results and predictions on the basis of the bulk-phase equilibria. Our findings provide the basis for tailoring vapor-liquid-solid growth to achieve complex one-dimensional materials geometries.

  20. Direct Adsorption and Molecular Self-Assembly of Octylthioacetates on Au(111) in the Vapor Phase

    International Nuclear Information System (INIS)

    Park, Tae Sung; Kang, Hun Gu; Kim, You Young; Lee, Seong Keun; Noh, Jae Geun

    2011-01-01

    We demonstrate that the direct adsorption of OTA on Au(111) in ethanol solution led to the formation of a disordered phase, whereas OTA SAMs grown from the vapor phase have an ordered 5 Χ √3 striped phase. Thus, vapor deposition was found to be a more effective technique, as compared to solution deposition, for improving the structural order of SAMs by direct adsorption of thioacetates on gold. Organic thiols are prone to easily oxidize to disulfides or other oxidized species that can affect the formation and structure of SAMs. The presence of disulfides or oxidized compounds in thiol samples often yields poorly ordered SAMs containing a high defect density and disordered phases. An approach that minimizes undesirable thiol oxidation is the use of a protected thiol that is deprotected in situ before or during SAM formation. The protection of thiol groups can be readily accomplished by acetylation. SAMs derived from acetyl protected thiols (thioacetates) on gold have usually been formed via an in situ deprotection process of the acetyl group in strong acidic or basic solutions. Other deprotection techniques have also been developed that use organic compounds such as triethylamine, tetrabutylammonium cyanide, and 1,8-diazabicyclo[5.4.0]undec-7-ene, and organic SAMs with a high degree of structural order have been successfully constructed in solutions containing these deprotection reagents

  1. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  2. Low temperature vapor phase digestion of graphite

    Energy Technology Data Exchange (ETDEWEB)

    Pierce, Robert A.

    2017-04-18

    A method for digestion and gasification of graphite for removal from an underlying surface is described. The method can be utilized to remove graphite remnants of a formation process from the formed metal piece in a cleaning process. The method can be particularly beneficial in cleaning castings formed with graphite molding materials. The method can utilize vaporous nitric acid (HNO.sub.3) or vaporous HNO.sub.3 with air/oxygen to digest the graphite at conditions that can avoid damage to the underlying surface.

  3. Reversal of lattice, electronic structure, and magnetism in epitaxial SrCoOx thin films

    Science.gov (United States)

    Jeen, H.; Choi, W. S.; Lee, J. H.; Cooper, V. R.; Lee, H. N.; Seo, S. S. A.; Rabe, K. M.

    2014-03-01

    SrCoOx (x = 2.5 - 3.0, SCO) is an ideal material to study the role of oxygen content for electronic structure and magnetism, since SCO has two distinct topotactic phases: the antiferromagnetic insulating brownmillerite SrCoO2.5 and the ferromagnetic metallic perovskite SrCoO3. In this presentation, we report direct observation of a reversible lattice and electronic structure evolution in SrCoOx epitaxial thin films as well as different magnetic and electronic ground states between the topotactic phases.[2] By magnetization measurements, optical absorption, and transport measurements drastically different electronic and magnetic ground states are found in the epitaxially grown SrCoO2.5 and SrCoO3 thin films by pulsed laser epitaxy. First-principles calculations confirm substantial, which originate from the modification in the Co valence states and crystallographic structures. By real-time spectroscopic ellipsometry, the two electronically and magnetically different phases can be reversibly changed by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides. The work was supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division.

  4. Solid phase epitaxial growth of high mobility La:BaSnO_3 thin films co-doped with interstitial hydrogen

    International Nuclear Information System (INIS)

    Niedermeier, Christian A.; Rhode, Sneha; Fearn, Sarah; Moram, Michelle A.; Ide, Keisuke; Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio

    2016-01-01

    This work presents the solid phase epitaxial growth of high mobility La:BaSnO_3 thin films on SrTiO_3 single crystal substrates by crystallization through thermal annealing of nanocrystalline thin films prepared by pulsed laser deposition at room temperature. The La:BaSnO_3 thin films show high epitaxial quality and Hall mobilities up to 26 ± 1 cm"2/Vs. Secondary ion mass spectroscopy is used to determine the La concentration profile in the La:BaSnO_3 thin films, and a 9%–16% La doping activation efficiency is obtained. An investigation of H doping to BaSnO_3 thin films is presented employing H plasma treatment at room temperature. Carrier concentrations in previously insulating BaSnO_3 thin films were increased to 3 × 10"1"9" cm"−"3 and in La:BaSnO_3 thin films from 6 × 10"1"9" cm"−"3 to 1.5 × 10"2"0" cm"−"3, supporting a theoretical prediction that interstitial H serves as an excellent n-type dopant. An analysis of the free electron absorption by infrared spectroscopy yields a small (H,La):BaSnO_3 electron effective mass of 0.27 ± 0.05 m_0 and an optical mobility of 26 ± 7 cm"2/Vs. As compared to La:BaSnO_3 single crystals, the smaller electron mobility in epitaxial thin films grown on SrTiO_3 substrates is ascribed to threading dislocations as observed in high resolution transmission electron micrographs.

  5. Epitaxy of advanced nanowire quantum devices

    Science.gov (United States)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  6. VLE measurements using a static cell vapor phase manual sampling method accompanied with an empirical data consistency test

    International Nuclear Information System (INIS)

    Freitag, Joerg; Kosuge, Hitoshi; Schmelzer, Juergen P.; Kato, Satoru

    2015-01-01

    Highlights: • We use a new, simple static cell vapor phase manual sampling method (SCVMS) for VLE (x, y, T) measurement. • The method is applied to non-azeotropic, asymmetric and two-liquid phase forming azeotropic binaries. • The method is approved by a data consistency test, i.e., a plot of the polarity exclusion factor vs. pressure. • The consistency test reveals that with the new SCVMS method accurate VLE near ambient temperature can be measured. • Moreover, the consistency test approves that the effect of air in the SCVMS system is negligible. - Abstract: A new static cell vapor phase manual sampling (SCVMS) method is used for the simple measurement of constant temperature x, y (vapor + liquid) equilibria (VLE). The method was applied to the VLE measurements of the (methanol + water) binary at T/K = (283.2, 298.2, 308.2 and 322.9), asymmetric (acetone + 1-butanol) binary at T/K = (283.2, 295.2, 308.2 and 324.2) and two-liquid phase forming azeotropic (water + 1-butanol) binary at T/K = (283.2 and 298.2). The accuracy of the experimental data was approved by a data consistency test, that is, an empirical plot of the polarity exclusion factor, β, vs. the system pressure, P. The SCVMS data are accurate, because the VLE data converge to the same lnβ vs. lnP straight line determined from conventional distillation-still method and a headspace gas chromatography method

  7. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The innovations proposed here are Ka-band (38 GHz) group III-nitride power FETs and the dislocation density reducing epitaxial growth methods (LPE) needed for their...

  8. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  9. The gas phase emitter effect of lanthanum within ceramic metal halide lamps and its dependence on the La vapor pressure and operating frequency

    Energy Technology Data Exchange (ETDEWEB)

    Ruhrmann, C.; Hoebing, T.; Bergner, A.; Groeger, S.; Awakowicz, P.; Mentel, J. [Electrical Engineering and Plasma Technology, Ruhr University Bochum, D-44780 Bochum (Germany); Denissen, C.; Suijker, J. [Philips Lighting, Category Professional Lamps, P.O. Box 80020, NL-5600JM Eindhoven (Netherlands)

    2015-08-07

    The gas phase emitter effect increases the lamp lifetime by lowering the work function and, with it, the temperature of the tungsten electrodes of metal halide lamps especially for lamps in ceramic vessels due to their high rare earth pressures. It is generated by a monolayer on the electrode surface of electropositive atoms of certain emitter elements, which are inserted into the lamp bulb by metal iodide salts. They are vaporized, dissociated, ionized, and deposited by an emitter ion current onto the electrode surface within the cathodic phase of lamp operation with a switched-dc or ac-current. The gas phase emitter effect of La and the influence of Na on the emitter effect of La are studied by spatially and phase-resolved pyrometric measurements of the electrode tip temperature, La atom, and ion densities by optical emission spectroscopy as well as optical broadband absorption spectroscopy and arc attachment images by short time photography. An addition of Na to the lamp filling increases the La vapor pressure within the lamp considerably, resulting in an improved gas phase emitter effect of La. Furthermore, the La vapor pressure is raised by a heating of the cold spot. In this way, conditions depending on the La vapor pressure and operating frequency are identified, at which the temperature of the electrodes becomes a minimum.

  10. Vapor-liquid Phase Equilibria for CO2+Tertpentanol Binary System at Elevated Pressures

    Institute of Scientific and Technical Information of China (English)

    WANG Lin; LUO Jian-cheng; YANG Hao; CHEN Kai-xun

    2011-01-01

    Vapor-liquid phase equilibrium data of tertpentanol in carbon dioxide were measured at temperatures of 313.4,323.4,333.5 and 343.5 K and in the pressure range of 4.56-11.44 MPa.The phase equilibium apparatus used in the work was a variable-volume high-pressure cell.The experimental data were reasonably correlated with Peng-Robinson equation of state(PR-EOS) together with van der Waals-2 two-parameter mixing rules.Henry's Law constants and partial molar volumes of CO2 at infinite dilution were estimated with Krichevsky-Kasarnovsky equation,and Henry's Law constants increase with increasing temperature,however,partial molar volumes of CO2 at infinite dilution are negative whose magnitudes decrease with temperature.Partial molar volumes of CO2 and tertpentanol in liquid phase at equilibrium were calculated.

  11. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  12. Managing amalgam phase down: An evaluation of mercury vapor levels in a dental center in Lagos, Nigeria

    Directory of Open Access Journals (Sweden)

    Adolphous Odofin Loto

    2017-01-01

    Full Text Available Background: Occupational exposure to elemental mercury vapor in a dental setting is mainly through inhalation exposure during preparation, insertion, polishing, and removal of amalgam fillings including storage of amalgam waste before disposal. This study aims to determine the indoor air levels of elemental mercury vapor in the dental operatories and ancillary sites at the Lagos State University Teaching Hospital (LASUTH. Materials and Methods: Samples of the ambient air were taken at seven locations the Dental Center of LASUTH by a trained technician between 9:00 and 11:00 a.m. This was done at a predetermined height (41/2feet above the floor for mercury vapor concentration using Lumex 915 light data logger mercury vapor analyzer manufactured by Ohio Lumex Company Incorporation, USA®. Results: The highest level of 1434 ng/m3 of mercury vapor in the air was found in the restorative clinic while the lowest of 23 ng Hg/m3 was found in the ambient air at the entrance of the dental Center. The Oral Surgery clinic had mercury vapor level of 318 ng/m3 which was slightly higher than Environmental Protection Agency recommended value of 0.3 μg/m3. Conclusion: An unacceptably high level of mercury vapor was detected, especially in the restorative clinic. Every dental clinic should have its ambient air evaluated for mercury vapor level for the purpose of forming a baseline data for monitoring purposes during the period of phase down of amalgam use. Best practices should also be instituted to reduce the level of exposure of patients and dental care workers to mercury vapor.

  13. System Model of Heat and Mass Transfer Process for Mobile Solvent Vapor Phase Drying Equipment

    Directory of Open Access Journals (Sweden)

    Shiwei Zhang

    2014-01-01

    Full Text Available The solvent vapor phase drying process is one of the most important processes during the production and maintenance for large oil-immersed power transformer. In this paper, the working principle, system composition, and technological process of mobile solvent vapor phase drying (MVPD equipment for transformer are introduced in detail. On the basis of necessary simplification and assumption for MVPD equipment and process, a heat and mass transfer mathematical model including 40 mathematical equations is established, which represents completely thermodynamics laws of phase change and transport process of solvent, water, and air in MVPD technological processes and describes in detail the quantitative relationship among important physical quantities such as temperature, pressure, and flux in key equipment units and process. Taking a practical field drying process of 500 KV/750 MVA power transformer as an example, the simulation calculation of a complete technological process is carried out by programming with MATLAB software and some relation curves of key process parameters changing with time are obtained such as body temperature, tank pressure, and water yield. The change trend of theoretical simulation results is very consistent with the actual production record data which verifies the correctness of mathematical model established.

  14. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  15. The influence of temperature on the polymerization of ethyl cyanoacrylate from the vapor phase

    Energy Technology Data Exchange (ETDEWEB)

    Dadmun, Mark D [ORNL; Algaier, Dana [University of Tennessee, Knoxville (UTK); Baskaran, Durairaj [University of Tennessee, Knoxville (UTK)

    2011-01-01

    The polymerization of ethyl cyanoacrylate fumes from surface bound initiators is an important step in many novel and mature technologies. Understanding the effect of temperature on the rate of poly(ethyl cyanoacrylate) (PECA) growth and its molecular weight during its polymerization from the vapor phase from surface bound initiators provides insight into the important mechanistic aspects that impact the polymerizations success. In these studies, it is shown that the amount of PECA formed during the polymerization of ECA from a latent fingerprint increases with decreasing temperature, while the polymer molecular weight varies little. This is interpreted to be the result of the loosening of the ion pair that initiates the polymer chain growth and resides on the end of the growing polymer chain with decreasing temperature. Comparison of temperature effects and counter-ion studies show that in both cases loosening the ion pair results in the formation of more polymer with similar molecular weight, verifying this interpretation. These results further suggest that lowering the temperature may be an effective method to optimize anionic vapor phase polymerizations, including the improvement of the quality of aged latent prints and preliminary results are presented that substantiate this prediction.

  16. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  17. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  18. The invention of graphene electronics and the physics of epitaxial graphene on silicon carbide

    International Nuclear Information System (INIS)

    De Heer, Walt A

    2012-01-01

    Graphene electronics was officially invented at the Georgia Institute of Technology in 2003 after experimental and theoretical research on graphene properties starting from 2001. This paper focuses on the motivation and events leading to the invention of graphene electronics, as well as on recent developments. Graphene electronics was originally conceived as a new electronics paradigm to incorporate the room-temperature ballistic and coherent properties of carbon nanotubes in a patternable electronic material. Graphene on silicon carbide was chosen as the most suitable material. Other electronics schemes, involving transferred (exfoliated and chemical vapor deposition-produced) graphitic materials, that operate in the diffusive regime may not be competitive with standard methods and may therefore not significantly impact electronics. In recent years, epitaxial graphene has improved to the point where graphene electronics according to the original concept appears to be within reach. Beyond electronics, epitaxial graphene research has led to important developments in graphene physics in general and has become a leading platform for graphene science as well.

  19. Hall effect and photoconductivity lifetime studies of gallium nitride, indium nitride, and mercury cadmium telluride

    Science.gov (United States)

    Swartz, Craig H.

    A deep understanding of both carrier recombination and transport is necessary for semiconductor engineering, particularly in defining the ultimate limits of performance for a given device before spending the resources to perfect its fabrication. Hall effect measurements utilizing a variable magnetic field are necessary to discriminate between conduction in epitaxial layers and conduction originating at the surface or at an interfacial layer. For thick hydride vapor phase epitaxy (HVPE) grown GaN, variable field Hall measurements revealed the presence of small but significant lower mobility surface and interface electrons which would otherwise lead to errors in interpreting the electrical properties. In addition, QMSA analysis of the measurements indicates that thick GaN samples contain a large spread in electron mobility values, most likely with depth. For molecular beam epitaxial InN, it was found that electrical measurements are affected by surface charge conduction, as well as the non-uniformity of mobility and carrier concentration with depth. Both of these effects mask the surprisingly high quality of the material close to the surface. Photoconductance lifetime and variable-magnetic-field Hall and transient measurements were performed on a series of undoped, In-doped and As-doped HgCdTe grown by MBE and MOCVD. N-type layers often significantly influence the interpretation of the electrical measurements. Even the best Low Wavelength Infrared (LWIR) n-type material still appears to be dominated by defect-related recombination, as intrinsic lifetimes calculated with full band structure can be well above those measured. Mid-Wavelength Infrared (MWIR) lifetimes increase somewhat with carrier concentration, as if the n-type doping process were passivating Schockley-Read-Hall (SRH) defects. P-type MWIR films lie mainly below the predicted values, and their relationship between concentration and lifetime is essentially unchanged by growth technique, indicating that a

  20. Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study

    Directory of Open Access Journals (Sweden)

    Sangmo Koo

    2016-09-01

    Full Text Available The selective epitaxial growth of Si1-xGex and the related strain properties were studied. Epitaxial Si1-xGex films were deposited on (100 and (110 orientation wafers and on patterned Si wafers with recessed source and drain structures via ultrahigh vacuum chemical vapor deposition using different growing steps and Ge concentrations. The stepwise process was split into more than 6 growing steps that ranged in thicknesses from a few to 120 nm in order to cover the wide stages of epitaxial growth. The growth rates of SiGe on the plane and patterned wafers were examined and a dependence on the surface orientation was identified. As the germanium concentration increased, defects were generated with thinner Si1-xGex growth. The defect generation was the result of the strain evolution which was examined for channel regions with a Si1-xGex source/drain (S/D structure.

  1. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  2. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  3. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  4. Epitaxial growth and electronic structure of a layered zinc pnictide semiconductor, β-BaZn2As2

    International Nuclear Information System (INIS)

    Xiao, Zewen; Ran, Fan-Yong; Hiramatsu, Hidenori; Matsuishi, Satoru; Hosono, Hideo; Kamiya, Toshio

    2014-01-01

    BaZn 2 As 2 is expected for a good p-type semiconductor and has two crystalline phases of an orthorhombic α phase and a higher-symmetry tetragonal β phase. Here, we report that high-quality epitaxial films of the tetragonal β-BaZn 2 As 2 were grown on single-crystal MgO (001) substrates by a reactive solid-phase epitaxy technique. Out-of-plane and in-plane epitaxial relationships between the film and the substrate were BaZn 2 As 2 (00 l)//MgO (001) and BaZn 2 As 2 [200]//MgO [200], respectively. The full-widths at half maximum were 0.082° for a 008 out-of-plane rocking curve and 0.342° for a 200 in-plane rocking curve. A step-and-terrace structure was observed by atomic force microscopy. The band gap of β-BaZn 2 As 2 was evaluated to be around 0.2 eV, which is much smaller than that of a family compound LaZnOAs (1.5 eV). Density functional theory calculation using the Heyd–Scuseria–Ernzerhof hybrid functionals supports the small band gap. - Highlights: • High-quality epitaxial β-BaZn 2 As 2 films were obtained. • The band gap of β-BaZn 2 As 2 was evaluated to around 0.2 eV. • Hybrid Heyd–Scuseria–Ernzerhof calculation supports the small band gap

  5. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  6. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  7. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  8. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  9. THE EFFECT OF WATER (VAPOR-PHASE) AND CARBON ON ELEMENTAL MERCURY REMOVAL IN A FLOW REACTOR

    Science.gov (United States)

    The paper gives results of studying the effect of vapor-phase moisture on elemental mercury (Hgo) removal by activated carbon (AC) in a flow reactor. tests involved injecting AC into both a dry and a 4% moisture nitrogen (N2) /Hgo gas stream. A bituminous-coal-based AC (Calgon WP...

  10. Growth of NH4Cl Single Crystal from Vapor Phase in Vertical Furnace

    Science.gov (United States)

    Nigara, Yutaka; Yoshizawa, Masahito; Fujimura, Tadao

    1983-02-01

    A pure and internally stress-free single crystal of NH4Cl was grown successfully from the vapor phase. The crystal measured 1.6 cmφ× 2 cm and had the disordered CsCl structure, which was stable below 184°C. The crystal was grown in an ampoule in a vertical furnace, in which the vapor was efficiently transported both by diffusion and convection. In line with the growth mechanism of a single crystal, the temperature fluctuation (°C/min) on the growth interface was kept smaller than the product of the temperature gradient (°C/cm) and the growth rate (cm/min). The specific heat of the crystal was measured around -31°C (242 K) during cooling and heating cycles by AC calorimetry. The thermal hysteresis (0.4 K) obtained here was smaller than that (0.89 K) of an NH4Cl crystal grown from its aqueous solution with urea added as a habit modifier.

  11. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    International Nuclear Information System (INIS)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J.

    1996-01-01

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs

  12. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J. [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1996-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  13. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K B; Ridgway, M C; Llewellyn, D J [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1997-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  14. Liquid-phase and vapor-phase dehydration of organic/water solutions

    Science.gov (United States)

    Huang, Yu [Palo Alto, CA; Ly, Jennifer [San Jose, CA; Aldajani, Tiem [San Jose, CA; Baker, Richard W [Palo Alto, CA

    2011-08-23

    Processes for dehydrating an organic/water solution by pervaporation or vapor separation using fluorinated membranes. The processes are particularly useful for treating mixtures containing light organic components, such as ethanol, isopropanol or acetic acid.

  15. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  16. Phase transition and luminescence properties from vapor etched silicon

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Ben Saad, K.; Bessais, B.

    2006-01-01

    In this work, we present a study on the structure and photoluminescence (PL) properties of a non-conventional ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 (white powder) obtained from HNO 3 /HF chemical vapor etching (CVE) of silicon wafers. The CVE method leads either to the formation of luminescent Porous Silicon (PS) or SiO x /Si-containing (NH 4 ) 2 SiF 6 depending on the experimental conditions. At specific conditions (i.e., HNO 3 / HF volume ratio > 1 / 4), the CVE technique can generate instead of PS, a (NH 4 ) 2 SiF 6 phase where SiO x /Si particles are embedded. The (NH 4 ) 2 SiF 6 marketed powder is not luminescent, while that obtained from silicon vapor-etching presents a noticeable intense and stable photoluminescence (PL), which was found to have mainly two shoulders at 1.98 and 2.1 eV. Two processes have been proposed to explain this PL property. First, the visible luminescence around 1.98 eV would come from silicon nanoparticles embedded in the powder, having a distribution size that does not allow SiO x species to influence their own PL. Second, the PL shoulder around 2.1 eV would originate from small silicon nanoparticles trapped in SiO x features, leading to oxide related states that may trap electrons or excitons, depending on the silicon nanoparticle size, wherein radiative recombination occurs. The PL shoulder could become broader at low temperatures suggesting the existence of radiative recombination in SiO x related defects

  17. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  18. An Evaluation of the Vapor Phase Catalytic Ammonia Removal Process for Use in a Mars Transit Vehicle

    Science.gov (United States)

    Flynn, Michael; Borchers, Bruce

    1998-01-01

    An experimental program has been developed to evaluate the potential of the Vapor Phase Catalytic Ammonia Reduction (VPCAR) technology for use as a Mars Transit Vehicle water purification system. Design modifications which will be required to ensure proper operation of the VPCAR system in reduced gravity are also evaluated. The VPCAR system is an integrated wastewater treatment technology that combines a distillation process with high temperature catalytic oxidation. The distillation portion of the system utilizes a vapor compression distillation process to provide an energy efficient phase change separation. This portion of the system removes any inorganic salts and large molecular weight, organic contaminates, i.e., non-volatile, from the product water stream and concentrates these contaminates into a byproduct stream. To oxidize the volatile organic compounds and ammonia, a vapor phase, high temperature catalytic oxidizer is used. This catalytic system converts these compounds along with the aqueous product into CO2, H2O, and N2O. A secondary catalytic bed can then be used to reduce the N2O to nitrogen and oxygen (although not evaluated in this study). This paper describes the design specification of the VPCAR process, the relative benefits of its utilization in a Mars Transit Vehicle, and the design modification which will be required to ensure its proper operation in reduced gravity. In addition, the results of an experimental evaluation of the processors is presented. This evaluation presents the processors performance based upon product water purity, water recovery rates, and power.

  19. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  20. Pollution level and distribution of PCDD/PCDF congeners between vapor phase and particulate phase in winter air of Dalian, China.

    Science.gov (United States)

    Wang, Wei; Qin, Songtao; Song, Yu; Xu, Qian; Ni, Yuwen; Chen, Jiping; Zhang, Xueping; Mu, Jim; Zhu, Xiuhua

    2011-06-01

    In December 2009, ambient air was sampled with active high-volume air samplers at two sites: on the roof of the No. l building of Dalian Jiaotong University and on the roof of the building of Dalian Meteorological Observatory. The concentrations and the congeners between vapor phase and particulate phase of polychlorinated dibenzo-p-dioxins and dibenzofurans (PCDD/Fs) in the air were measured. Sample analysis results showed that the concentrations of PCDD/Fs in particulate phase was higher than that in gaseous phase. The ratio of PCDD to PCDF in gaseous phase and particulate phase was lower than 0.4 in all samples. The total I-TEQ value in gaseous phase and particulate phase was 5.5 and 453.8 fg/m(3) at Dalian Jiaotong University, 16.6 and 462.1 fg/m(3) at Dalian Meteorological Observatory, respectively. The I-TEQ value of Dalian atmosphere was 5.5-462.1 fg/m(3) which was lower than international standard, the atmospheric quality in Dalian is better. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  1. Comparison of the layer structure of vapor phase and leached SRL glass by use of AEM [analytical electron microscopy

    International Nuclear Information System (INIS)

    Biwer, B.M.; Bates, J.K.; Abrajano, T.A. Jr.; Bradley, J.P.

    1989-01-01

    Test samples of 131 type glass that have been reacted for extended time periods in water vapor atmospheres of different relative humidities and in static leaching solution have been examined to characterize the reaction products. Analytical electron microscopy (AEM) was used to characterize the leached samples, and a complicated layer structure was revealed, consisting of phases that precipitate from solution and also form within the residual glass layer. The precipitated phases include birnes-site, saponite, and an iron species, while the intralayer phases include the U-Ti containing phase brannerite distributed within a matrix consisting of bands of an Fe rich montmorillonite clay. Comparison is made between samples leached at 40 degrees C for 4 years with those leached at 90 degrees C for 3-1/2 years. The samples reacted in water vapor were examined with scanning electron microscopy and show increasing reaction as both the relative humidity and time of reaction increases. These samples also contain a layered structure with reaction products on the glass surface. 15 refs., 5 figs

  2. Surface photovoltage and photoluminescence study of thick Ga(In)AsN layers grown by liquid-phase epitaxy

    International Nuclear Information System (INIS)

    Donchev, V; Milanova, M; Lemieux, J; Shtinkov, N; Ivanov, I G

    2016-01-01

    We present an experimental and theoretical study of Ga(In)AsN layers with a thickness of around 1 μm grown by liquid-phase epitaxy (LPE) on n-type GaAs substrates. The samples are studied by surface photovoltage (SPV) spectroscopy and by photoluminescence spectroscopy. Theoretical calculations of the electronic structure and the spectral dependence of the dielectric function are carried out for different nitrogen concentrations using a full-band tight-binding approach in the sp 3 d 5 s*s N parameterisation. The SPV spectra measured at room temperature clearly show a red shift of the absorption edge with respect to the absorption of the GaAs substrate. This shift, combined with the results of the theoretical calculations, allows assessing the nitrogen concentration in different samples. The latter increases with increasing the In content. The analysis of the SPV phase spectra provides information about the alignment of the energy bands across the structures. The photoluminescence measurements performed at 2 K show a red shift of the emission energy with respect to GaAs, in agreement with the SPV results. (paper)

  3. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  4. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Stability limit of liquid water in metastable equilibrium with subsaturated vapors.

    Science.gov (United States)

    Wheeler, Tobias D; Stroock, Abraham D

    2009-07-07

    A pure liquid can reach metastable equilibrium with its subsaturated vapor across an appropriate membrane. This situation is analogous to osmotic equilibrium: the reduced chemical potential of the dilute phase (the subsaturated vapor) is compensated by a difference in pressure between the phases. To equilibrate with subsaturated vapor, the liquid phase assumes a pressure that is lower than its standard vapor pressure, such that the liquid phase is metastable with respect to the vapor phase. For sufficiently subsaturated vapors, the liquid phase can even assume negative pressures. The appropriate membrane for this metastable equilibrium must provide the necessary mechanical support to sustain the difference in pressure between the two phases, limit nonhomogeneous mechanisms of cavitation, and resist the entry of the dilutant (gases) into the pure phase (liquid). In this article, we present a study of the limit of stability of liquid water--the degree of subsaturation at which the liquid cavitates--in this metastable state within microscale voids embedded in hydrogel membranes. We refer to these structures as vapor-coupled voids (VCVs). In these VCVs, we observed that liquid water cavitated when placed in equilibrium with vapors of activity aw,vapairhumiditynucleation theory or molecular simulations (Pcav=-140 to -180 MPa). To determine the cause of the disparity between the observed and predicted stability limit, we examine experimentally the likelihood of several nonhomogeneous mechanisms of nucleation: (i) heterogeneous nucleation caused by hydrophobic patches on void walls, (ii) nucleation caused by the presence of dissolved solute, (iii) nucleation caused by the presence of pre-existing vapor nuclei, and (iv) invasion of air through the hydrogel membrane into the voids. We conclude that, of these possibilities, (i) and (ii) cannot be discounted, whereas (iii) and (iv) are unlikely to play a role in determining the stability limit.

  7. Vapor-droplet flow equations

    International Nuclear Information System (INIS)

    Crowe, C.T.

    1975-01-01

    General features of a vapor-droplet flow are discussed and the equations expressing the conservation of mass, momentum, and energy for the vapor, liquid, and mixture using the control volume approach are derived. The phenomenological laws describing the exchange of mass, momentum, and energy between phases are also reviewed. The results have application to development of water-dominated geothermal resources

  8. Evaporation and Condensation Flows of a Vapor-Gas Mixture from or onto the Condensed Phase with an Internal Structure

    National Research Council Canada - National Science Library

    Onishi, Yoshimoto; Yamada, Ken

    2005-01-01

    Transient motions of a vapor-gas mixture due to the evaporation and condensation processes from or onto the plane condensed phase, with a temperature field as its internal structure, have been studied...

  9. Monofilament Vaporization Propulsion (MVP) System, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Monofilament Vaporization Propulsion (MVP) is a new propulsion technology targeted at secondary payload applications. It does not compromise on performance while...

  10. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  11. Detection of vapor-phase organophosphate threats using wearable conformable integrated epidermal and textile wireless biosensor systems.

    Science.gov (United States)

    Mishra, Rupesh K; Martín, Aida; Nakagawa, Tatsuo; Barfidokht, Abbas; Lu, Xialong; Sempionatto, Juliane R; Lyu, Kay Mengjia; Karajic, Aleksandar; Musameh, Mustafa M; Kyratzis, Ilias L; Wang, Joseph

    2018-03-15

    Flexible epidermal tattoo and textile-based electrochemical biosensors have been developed for vapor-phase detection of organophosphorus (OP) nerve agents. These new wearable sensors, based on stretchable organophosphorus hydrolase (OPH) enzyme electrodes, are coupled with a fully integrated conformal flexible electronic interface that offers rapid and selective square-wave voltammetric detection of OP vapor threats and wireless data transmission to a mobile device. The epidermal tattoo and textile sensors display a good reproducibility (with RSD of 2.5% and 4.2%, respectively), along with good discrimination against potential interferences and linearity over the 90-300mg/L range, with a sensitivity of 10.7µA∙cm 3 ∙mg -1 (R 2 = 0.983) and detection limit of 12mg/L in terms of OP air density. Stress-enduring inks, used for printing the electrode transducers, ensure resilience against mechanical deformations associated with textile and skin-based on-body sensing operations. Theoretical simulations are used to estimate the OP air density over the sensor surface. These fully integrated wearable wireless tattoo and textile-based nerve-agent vapor biosensor systems offer considerable promise for rapid warning regarding personal exposure to OP nerve-agent vapors in variety of decentralized security applications. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Vapor pressures and vapor compositions in equilibrium with hypostoichiometric plutonium dioxide at high temperatures

    International Nuclear Information System (INIS)

    Green, D.W.; Fink, J.K.; Leibowitz, L.

    1982-01-01

    Vapor pressures and vapor compositions have been calculated for 1500 less than or equal to T less than or equal to 4000 0 K. Thermodynamic functions for the condensed phase and for each of the gaseous species were combined with an oxygen-potential model extended into the liquid region to obtain the partial pressures of O 2 , O, Pu, PuO and PuO 2 . The calculated oxygen pressures increase very rapidly as stoichiometry is approached. At least part of this increase is a consequence of the exclusion of Pu 6 + from the oxygen-potential model. No reliable method was found to estimate the importance of this ion. As a result of large oxygen potentials at high temperatures, extremely high total pressures that produced unreasonably high vapor densities were calculated. The highest temperature was therefore limited to 400 K, and the range of oxygen-to-metal ratios was limited to 1.994 to 1.70. These calculations show that vapor in equilibrium with hypostoichiometric plutonium dioxide is poorly approximated as PuO 2 for most of the temperture and composition range of interest. The vapor is much more oxygen-rich than the condensed phase. Implications for the (U,Pu)O/sub 2-x/ system are discussed

  13. The liquid phase epitaxy approach for the successful construction of ultra-thin and defect-free ZIF-8 membranes: Pure and mixed gas transport study

    KAUST Repository

    Shekhah, Osama; Swaidan, Raja; Belmabkhout, Youssef; Du Plessis, Marike; Jacobs, Tia; Barbour, Leonard J.; Pinnau, Ingo; Eddaoudi, Mohamed

    2014-01-01

    The liquid-phase epitaxy (LPE) method was effectively implemented to deliberately grow/construct ultrathin (0.5-1 μm) continuous and defect-free ZIF-8 membranes. Permeation properties of different gas pair systems (O 2-N2, H2-CO2, CO2-CH 4, C3H6-C3H8, CH 4-n-C4H10) were studied using the time lag technique. This journal is © The Royal Society of Chemistry.

  14. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  15. Some properties of Ga-As-Alsub(x)Gasub(1-x)As heterojunction grown by low temperature liquid phase epitaxy

    International Nuclear Information System (INIS)

    Yu Lisheng; Liu Hongxun; Zhang Bei; Wang Shumin

    1986-03-01

    GaAs-Alsub(x)Gasub(1-x)As heterojunction was grown by liquid phase epitaxy at low growth temperature 650-700 deg. C. The series resistance of heterojunction with DH laser structure was measured. Doping properties of Mg in GaAs and Alsub(x)Gasub(1-x)As were investigated. It is found that impurity concentration of Mg as high as 10 18 cm -3 can be doped easily. The Shubnikov-de-Haas oscillation was observed in GaAs-N Alsub(0.35)Gasub(0.65)As heterointerface. It is demonstrated that in these heterointerfaces there exists 2DEG with some contribution from 3D electron of N-AlGaAs layer. (author)

  16. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    Science.gov (United States)

    Hazbun, Ramsey Michael

    Near infrared and mid infrared optoelectronic devices have become increasingly important for the telecommunications, security, and medical imaging industries. The addition of nitrogen to III-V alloys has been widely studied as a method of modifying the band gap for mid infrared (IR) applications. In xGa1-xSb1-y Ny/InAs strained-layer superlattices with type-II (staggered) energy offsets on GaSb substrates, were modeled using eight-band k˙p simulations to analyze the superlattice miniband energies. Three different zero-stress strain balance conditions are reported: fixed superlattice period thickness, fixed InAs well thickness, and fixed InxGa1-xSb 1-yNy barrier thickness. Optoelectronics have traditionally been the realm of III-V semiconductors due to their direct band gap, while integrated circuit chips have been the realm of Group IV semiconductors such as silicon because of its relative abundance and ease of use. Recently the alloying of Sn with Ge and Si has been shown to allow direct band-gap light emission. This presents the exciting prospect of integrating optoelectronics into current Group IV chip fabrication facilities. However, new approaches for low temperature growth are needed to realize these new SiGeSn alloys. Silicon-germanium epitaxy via ultra-high vacuum chemical vapor deposition has the advantage of allowing low process temperatures. Deposition processes are sensitive to substrate surface preparation and the time delay between oxide removal and epitaxial growth. A new monitoring process utilizing doped substrates and defect decoration etching is demonstrated to have controllable and unique sensitivity to interfacial contaminants. Doped substrates were prepared and subjected to various loading conditions prior to the growth of typical Si/SiGe bilayers. The defect densities were correlated to the concentration of interfacial oxygen suggesting this monitoring process may be an effective complement to monitoring via secondary ion mass spectrometry

  17. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  18. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  19. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  20. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  1. Characteristic of doping and diffusion of heavily doped n and p type InP and InGaAs epitaxial layers grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pinzone, C.J.; Dupuis, R.D.; Ha, N.T.; Luftman, H.S.; Gerrard, N.D.

    1990-01-01

    Electronic and photonic device applications of the InGaAs/InP materials system often require the growth of epitaxial material doped to or near the solubility limit of the impurity in the host material. These requirements present an extreme challenge for the crystal grower. To produce devices with abrupt dopant profiles, preserve the junction during subsequent growth, and retain a high degree of crystalline perfection, it is necessary to understand the limits of dopant incorporation and the behavior of the impurity in the material. In this study, N-type doping above 10 19 cm -3 has been achieved in InP and InGaAs using Sn as a dopant. P-type Zn doping at these levels has also been achieved in these materials but p type activation above ∼3 x 10 18 cm -3 in InP has not been seen. All materials were grown by the metalorganic chemical vapor deposition (MOCVD) crystal growth technique. Effective diffusion coefficients have been measured for Zn and Sn in both materials from analysis of secondary ion mass spectra (SIMS) of specially grown and annealed samples

  2. Penicillium expansum Inhibition on Bread by Lemongrass Essential Oil in Vapor Phase.

    Science.gov (United States)

    Mani López, Emma; Valle Vargas, Georgina P; Palou, Enrique; López Malo, Aurelio

    2018-02-23

    The antimicrobial activity of lemongrass ( Cymbopogon citratus) essential oil (EO) in the vapor phase on the growth of Penicillium expansum inoculated on bread was evaluated, followed by a sensory evaluation of the bread's attributes after EO exposure. The lemongrass EO was extracted from dry leaves of lemongrass by microwave-assisted steam distillation. The chemical composition of the lemongrass EO was determined using a gas chromatograph coupled to a mass spectrometer. The refractive index and specific gravity of the EO were also determined. Bread was prepared and baked to reach two water activity levels, 0.86 or 0.94, and then 10 μL of P. expansum spore (10 6 spores per mL) suspension was inoculated on the bread surface. Concentrations of lemongrass EO were tested from 125 to 4,000 μL/L air , whereas mold radial growth was measured for 21 days. For sensory evaluation, breads were treated with lemongrass EO vapor at 0, 500, or 1,000 μL/L air for 48 h and tested by 25 untrained panelists. The EO yield was 1.8%, with similar physical properties to those reported previously. Thirteen compounds were the main components in the EO, with citral being the major compound. P. expansum was inhibited for 21 days at 20°C with 750 μL of EO/L air , and its inhibition increased with increasing concentrations of EO. Sensory acceptance of bread exposed to vapor concentrations of 500 or 1,000 μL of EO/L air or without EO was favorable; similar and no significant differences ( P > 0.05) were observed among them.

  3. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  4. Epitaxial grown InP quantum dots on a GaAs buffer realized on GaP/Si(001) templates

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, Walter; Wiesner, Michael; Koroknay, Elisabeth; Paul, Matthias; Jetter, Michael; Michler, Peter [Institut fuer Halbleiteroptik und Funktionelle Grenzflaechen und Research Center SCoPE, Universitaet Stuttgart, Allmandring 3, 70569 Stuttgart (Germany)

    2013-07-01

    The increasing necessity of higher computational capacity and security in the information technology requires originally technical solutions, which today's standard microelectronics, as their technical limits are close, can't provide anymore. One way out offers the integration of III-V semiconductor photonics with low-dimensional structures in current CMOS technology, enabling on-chip quantum optical applications, like quantum cryptography or quantum computing. Challenges in the heteroepitaxy of III-V semiconductors and silicon are the mismatches in material properties of the both systems. Defects, like dislocations and anti-phase domains (APDs), inhibit the monolithic integration of III-V semiconductor on Si. We present the growth of a thin GaAs buffer on CMOS-compatible oriented Si(001) by metal-organic vapor-phase epitaxy. To circumvent the forming APDs in the GaAs buffer a GaP on Si template (provided by NAsP{sub III/V} GmbH) was used. The dislocation density was then reduced by integrating several layers of InAs quantum dots in the GaAs buffer to bend the threading misfit dislocations. On top of this structure we grew InP quantum dots embedded in a Al{sub x}Ga{sub 1-x}InP composition and investigated the photoluminescence properties.

  5. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  6. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  7. Triple sorbent thermal desorption/gas chromatography/mass spectrometry determination of vapor phase organic contaminants

    International Nuclear Information System (INIS)

    Ma, C.Y.; Skeen, J.T.; Dindal, A.B.; Higgins, C.E.; Jenkins, R.A.

    1994-05-01

    A thermal desorption/ps chromatography/mass spectrometry (TD/GC/MS) has been evaluated for the determination of volatile organic compounds (VOCS) in vapor phase samples using Carbosieve S-III/Carbotrap/Carotrap C triple sorbent traps (TST) similar to those available from a commercial source. The analysis was carried out with a Hewlett-Packard 5985A or 5995 GC/MS system with a modified injector to adapt an inhouse manufactured short-path desorber for transferring desorbate directly onto a cryofocusing loop for subsequent GC/MS analysis. Vapor phase standards generated from twenty six compounds were used for method validation, including alkanes, alkyl alcohols, alkyl ketones, and alkyl nitrites, a group of representative compounds that have previously been identified in a target airborne matrix. The method was validated based on the satisfactory results in terms of reproducibility, recovery rate, stability, and linearity. A relative, standard deviation of 0.55 to 24.3 % was obtained for the entire TD process (generation of gas phase standards, spiking the standards on and desorbing from TST) over a concentration range of 20 to 500 ng/trap. Linear correlation coefficients for the calibration curves as determined ranged from 0.81 to 0.99 and limits of detection ranged from 3 to 76 ng. For a majority of standards, recoveries of greater than 90% were observed. For three selected standards spiked on TSTS, minimal loss (10 to 22%) was observed after storing the spiked in, a 4 degree C refrigerator for 29 days. The only chromatographable artifact observed was a 5% conversion of isopropanol to acetone. The validated method been successfully applied, to the determination of VOCs collected from various emission sources in a diversified concentration range

  8. Tank vapor sampling and analysis data package for tank 241-C-106 waste retrieval sluicing system process test phase III

    Energy Technology Data Exchange (ETDEWEB)

    LOCKREM, L.L.

    1999-08-13

    This data package presents sampling data and analytical results from the March 28, 1999, vapor sampling of Hanford Site single-shell tank 241-C-106 during active sluicing. Samples were obtained from the 296-C-006 ventilation system stack and ambient air at several locations. Characterization Project Operations (CPO) was responsible for the collection of all SUMMATM canister samples. The Special Analytical Support (SAS) vapor team was responsible for the collection of all triple sorbent trap (TST), sorbent tube train (STT), polyurethane foam (PUF), and particulate filter samples collected at the 296-C-006 stack. The SAS vapor team used the non-electrical vapor sampling (NEVS) system to collect samples of the air, gases, and vapors from the 296-C-006 stack. The SAS vapor team collected and analyzed these samples for Lockheed Martin Hanford Corporation (LMHC) and Tank Waste Remediation System (TWRS) in accordance with the sampling and analytical requirements specified in the Waste Retrieval Sluicing System Vapor Sampling and Analysis Plan (SAP) for Evaluation of Organic Emissions, Process Test Phase III, HNF-4212, Rev. 0-A, (LMHC, 1999). All samples were stored in a secured Radioactive Materials Area (RMA) until the samples were radiologically released and received by SAS for analysis. The Waste Sampling and Characterization Facility (WSCF) performed the radiological analyses. The samples were received on April 5, 1999.

  9. Composition tailoring in the Ce-doped multicomponent garnet epitaxial film scintillators

    Czech Academy of Sciences Publication Activity Database

    Průša, Petr; Kučera, M.; Mareš, Jiří A.; Onderišinová, Z.; Hanuš, M.; Babin, Vladimir; Beitlerová, Alena; Nikl, Martin

    2015-01-01

    Roč. 15, č. 8 (2015), s. 3715-3723 ISSN 1528-7483 R&D Projects: GA ČR GAP204/12/0805 Institutional support: RVO:68378271 Keywords : scintillation * liquid phase epitaxy * photoelectron yield * Ce 3+ * multicomponent garnet Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.425, year: 2015

  10. Physical-chemical and technological aspects of the preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O by method of metal organic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Stejskal, J.; Nevriva, M.; Leitner, J.

    1995-01-01

    The method of metal organic vapour phase epitaxy (MO VPE) was used for preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O. The suitable chemical precursors (β-diketonates) on the literature data and of the own thermodynamic calculations were selected. The optimal thermodynamic data and thermodynamic stability of the prepared samples were determined

  11. Hanford soil partitioning and vapor extraction study

    International Nuclear Information System (INIS)

    Yonge, D.; Hossain, A.; Cameron, R.; Ford, H.; Storey, C.

    1996-07-01

    This report describes the testing and results of laboratory experiments conducted to assist the carbon tetrachloride soil vapor extraction project operating in the 200 West Area of the Hanford Site in Richland, Washington. Vapor-phase adsorption and desorption testing was performed using carbon tetrachloride and Hanford Site soils to estimate vapor-soil partitioning and reasonably achievable carbon tetrachloride soil concentrations during active vapor extractions efforts at the 200 West Area. (CCl 4 is used in Pu recovery from aqueous streams.)

  12. BiFeO3 epitaxial thin films and devices: past, present and future

    Science.gov (United States)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  13. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  14. Bionanomaterials and Bioinspired Nanostructures for Selective Vapor Sensing

    Science.gov (United States)

    2013-04-03

    agricultural crops. To meet the requirements for these and other demanding applications, new sensing approaches with improved sensor selectivity are required...of these vapors with key side- chain amino acids. DNT-binding peptide receptors were further conjugated to an oligo(ethylene glycol) hydrogel for vapor...coefficient for DNT over TNT vapor. Vapor-phase binding performance was attributed to the ability of the oligo(ethylene glycol) hydrogel to maintain the

  15. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Thermochemistry of methoxythiophenes: Measurement of their enthalpies of vaporization and estimation of their enthalpies of formation in the condensed phase

    International Nuclear Information System (INIS)

    Temprado, Manuel; Notario, Rafael; Roux, María Victoria; Verevkin, Sergey P.

    2014-01-01

    Highlights: • The enthalpies of vaporization of 2- and 3-methoxythiophenes have been measured by the transpiration method. • We have estimated the enthalpies of formation of methoxythiophenes in liquid phase. • The optimized geometries of methoxythiophenes have been tabulated and compared with the experimental crystal structures. - Abstract: Enthalpies of vaporization of 2- and 3-methoxythiophenes (48.32 ± 0.30 and 48.54 ± 0.22 kJ · mol −1 , respectively) have been measured by the transpiration method using nitrogen as the carrying and protecting stream. Combustion experiments leading to enthalpies of formation in the liquid phase, Δ f H 0 m (l), for both isomers failed due to rapid darkening of freshly distilled samples even under a protecting atmosphere. However, combination of experimental vaporization enthalpies with values of the gaseous enthalpies of formation, Δ f H 0 m (g), obtained by quantum-chemical calculations from our previous work Notario et al. (2012) [24] permits establishing estimated Δ f H 0 m (l) values of −(68.3 ± 4.2) and −(80.1 ± 4.2) kJ · mol −1 , for 2- and 3-methoxythiophene, respectively

  17. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.

    2012-09-05

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4\\'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  18. Deposition of metal-organic frameworks by liquid-phase epitaxy: The influence of substrate functional group density on film orientation

    KAUST Repository

    Liu, J.; Shekhah, O.; Stammer, X.; Arslan, H.K.; Liu, B.; Schupbach, B.; Terfort, A.; Woll, C.

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4'-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  19. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Science.gov (United States)

    Liu, Jinxuan; Shekhah, Osama; Stammer, Xia; Arslan, Hasan K.; Liu, Bo; Schüpbach, Björn; Terfort, Andreas; Wöll, Christof

    2012-01-01

    The liquid phase epitaxy (LPE) of the metal-organic framework (MOF) HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs) on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA), 4’-carboxyterphenyl-4-methanethiol (TPMTA) and 9-carboxy-10-(mercaptomethyl)triptycene (CMMT). The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100) direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111) direction.

  20. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  1. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  2. Numerical modeling of a vaporizing multicomponent droplet

    Science.gov (United States)

    Megaridis, C. M.; Sirignano, W. A.

    The fundamental processes governing the energy, mass, and momentum exchange between the liquid and gas phases of vaporizing, multicomponent liquid droplets have been investigated. The axisymmetric configuration under consideration consists of an isolated multicomponent droplet vaporizing in a convective environment. The model considers different volatilities of the liquid components, variable liquid properties due to variation of the species concentrations, and non-Fickian multicomponent gaseous diffusion. The bicomponent droplet model was employed to examine the commonly used assumptions of unity Lewis number in the liquid phase and Fickian gaseous diffusion. It is found that the droplet drag coefficients, the vaporization rates, and the related transfer numbers are not influenced by the above assumptions in a significant way.

  3. Vaporization of fault water during seismic slip

    Science.gov (United States)

    Chen, Jianye; Niemeijer, André R.; Fokker, Peter A.

    2017-06-01

    Laboratory and numerical studies, as well as field observations, indicate that phase transitions of pore water might be an important process in large earthquakes. We present a model of the thermo-hydro-chemo-mechanical processes, including a two-phase mixture model to incorporate the phase transitions of pore water, occurring during fast slip (i.e., a natural earthquake) in order to investigate the effects of vaporization on the coseismic slip. Using parameters from typical natural faults, our modeling shows that vaporization can indeed occur at the shallow depths of an earthquake, irrespective of the wide variability of the parameters involved (sliding velocity, friction coefficient, gouge permeability and porosity, and shear-induced dilatancy). Due to the fast kinetics, water vaporization can cause a rapid slip weakening even when the hydrological conditions of the fault zone are not favorable for thermal pressurization, e.g., when permeability is high. At the same time, the latent heat associated with the phase transition causes the temperature rise in the slip zone to be buffered. Our parametric analyses reveal that the amount of frictional work is the principal factor controlling the onset and activity of vaporization and that it can easily be achieved in earthquakes. Our study shows that coseismic pore fluid vaporization might have played important roles at shallow depths of large earthquakes by enhancing slip weakening and buffering the temperature rise. The combined effects may provide an alternative explanation for the fact that low-temperature anomalies were measured in the slip zones at shallow depths of large earthquakes.

  4. Resistance switching in epitaxial SrCoOx thin films

    Science.gov (United States)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-08-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO2.5) and conducting perovskite (SrCoO3-δ) depending on the oxygen content. The current-voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoOx thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO2.5.

  5. Resistance switching in epitaxial SrCoOx thin films

    International Nuclear Information System (INIS)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-01-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO 3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO 2.5 ) and conducting perovskite (SrCoO 3−δ ) depending on the oxygen content. The current–voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoO x thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO 2.5

  6. Crystal growth and scintillation properties of Lu substituted CeBr.sub.3./sub. single crystals

    Czech Academy of Sciences Publication Activity Database

    Ito, T.; Yokota, Y.; Kurosawa, S.; Král, Robert; Kamada, K.; Pejchal, Jan; Ohashi, Y.; Yoshikawa, A.

    2016-01-01

    Roč. 452, Oct (2016), s. 65-68 ISSN 0022-0248. [American Conference on Crystal Growth and Epitaxy /20./ (ACCGE) / 17th Biennial Workshop on Organometallic Vapor Phase Epitaxy (OMVPE) / 2nd 2D Electronic Materials Symposium. Big Sky, MT, 02.08.2015-07.08.2015] Institutional support: RVO:68378271 Keywords : radiation * halides * scintillator materials * crystal growth Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.751, year: 2016

  7. Luminescent properties of Cr-doped gallium garnet crystals grown by the micro-pulling-down method

    Czech Academy of Sciences Publication Activity Database

    Kurosawa, S.; Suzuki, A.; Yamaji, A.; Kamada, K.; Pejchal, Jan; Ohashi, Y.; Yokota, Y.; Chani, V.I.; Yoshikawa, A.

    2016-01-01

    Roč. 452, Oct (2016), s. 95-100 ISSN 0022-0248. [American Conference on Crystal Growth and Epitaxy /20./ (ACCGE) / 17th Biennial Workshop on Organometallic Vapor Phase Epitaxy (OMVPE) / 2nd 2D Electronic Materials Symposium. Big Sky, MT, 02.08.2015-07.08.2015] Institutional support: RVO:68378271 Keywords : scintillator materials * single crystal growth * gallium compounds Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.751, year: 2016

  8. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  9. Quantitative liquid and vapor distribution measurements in evaporating fuel sprays using laser-induced exciplex fluorescence

    International Nuclear Information System (INIS)

    Fansler, Todd D; Drake, Michael C; Gajdeczko, Boguslaw; Düwel, Isabell; Koban, Wieland; Zimmermann, Frank P; Schulz, Christof

    2009-01-01

    Fully quantitative two-dimensional measurements of liquid- and vapor-phase fuel distributions (mass per unit volume) from high-pressure direct-injection gasoline injectors are reported for conditions of both slow and rapid vaporization in a heated, high-pressure spray chamber. The measurements employ the coevaporative gasoline-like fluorobenzene (FB)/diethylmethylamine (DEMA)/hexane exciplex tracer/fuel system. In contrast to most previous laser-induced exciplex-fluorescence (LIEF) experiments, the quantitative results here include regions in which liquid and vapor fuel coexist (e.g. near the injector exit). A unique aspect is evaluation of both vapor- and liquid-phase distributions at varying temperature and pressure using only in situ vapor-phase fluorescence calibration measurements at room temperature and atmospheric pressure. This approach draws on recent extensive measurements of the temperature-dependent spectroscopic properties of the FB–DEMA exciplex system, in particular on knowledge of the quantum efficiencies of the vapor-phase and liquid-phase (exciplex) fluorescence. In addition to procedures necessary for quantitative measurements, we discuss corrections for liquid–vapor crosstalk (liquid fluorescence that overlaps the vapor-fluorescence bandpass), the unknown local temperature due to vaporization-induced cooling, and laser-sheet attenuation by scattering and absorption

  10. Multicomponent droplet vaporization in a convecting environment

    International Nuclear Information System (INIS)

    Megaridis, C.M.; Sirignano, W.A.

    1990-01-01

    In this paper a parametric study of the fundamental exchange processes for energy, mass and momentum between the liquid and gas phases of multicomponent liquid vaporizing droplets is presented. The model, which examines an isolated, vaporizing, multicomponent droplet in an axisymmetric, convecting environment, considers the different volatilities of the liquid components, the alteration of the liquid-phase properties due to the spatial/temporal variations of the species concentrations and also the effects of multicomponent diffusion. In addition, the model accounts for variable thermophysical properties, surface blowing and droplet surface regression due to vaporization, transient droplet heating with internal liquid circulation, and finally droplet deceleration with respect to the free flow due to drag. The numerical calculation employs finite-difference techniques and an iterative solution procedure that provides time-varying spatially-resolved data for both phases. The effects of initial droplet composition, ambient temperature, initial Reynolds number (based on droplet diameter), and volatility differential between the two liquid components are investigated for a liquid droplet consisting of two components with very different volatilities. It is found that mixtures with higher concentration of the less volatile substance actually vaporize faster on account of intrinsically higher liquid heating rates

  11. Study of near-critical states of liquid-vapor phase transition of magnesium

    International Nuclear Information System (INIS)

    Emelyanov, A N; Shakhray, D V; Golyshev, A A

    2015-01-01

    Study of thermodynamic parameters of magnesium in the near-critical point region of the liquid-vapor phase transition and in the region of metal-nonmetal transition was carried out. Measurements of the electrical resistance of magnesium after shock compression and expansion into gas (helium) environment in the process of isobaric heating was carried out. Heating of the magnesium surface by heat transfer with hot helium was performed. The registered electrical resistance of expanded magnesium was about 10 4 -10 5 times lower than the electrical resistance of the magnesium under normal condition at the density less than the density of the critical point. Thus, metal-nonmetal transition was found in magnesium. (paper)

  12. Student Understanding of Liquid-Vapor Phase Equilibrium

    Science.gov (United States)

    Boudreaux, Andrew; Campbell, Craig

    2012-01-01

    Student understanding of the equilibrium coexistence of a liquid and its vapor was the subject of an extended investigation. Written assessment questions were administered to undergraduates enrolled in introductory physics and chemistry courses. Responses have been analyzed to document conceptual and reasoning difficulties in sufficient detail to…

  13. Order-Order Transition of C → sdG → sL → S in ABC Triblock Copolymer Thin Film Induced by Solvent Vapor.

    Science.gov (United States)

    Luo, Chunxia; Huang, Weihuan; Han, Yanchun

    2009-04-01

    The morphology transition of polystyrene-block-poly(butadiene)-block-poly(2-vinylpyridine) (SBV) triblock thin film induced in benzene vapor showing weak selectivity for PS is investigated. The order-order transitions (OOT) in the sequence of core-shell cylinders (C), sphere in 'diblock gyroid' (sdG), sphere in lamella (sL) and sphere (S) are observed. The projection along (111) direction in Gyroid phase (sdG(111)) is found to epitaxially grow from C(001) in the film. Instead of sdG(111), sdG(110)(0.1875) develops to the phase of sL. Consequently, the film experiences the transition sequence of sdG(111) → sdG(211) → sdG(110)(0.25)  → sdG(110)(0.1875) between C and sL. The mechanism is analyzed from the total surface area of the blocks. Copyright © 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  15. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  16. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    Science.gov (United States)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  17. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.; Mi, Wenbo; Guo, Zaibing; Bai, Haili

    2013-01-01

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation

  18. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  19. Vapor-Liquid Phase Equilibria for Carbon Dioxide-I- Isopentanol Binary System at Elevated Pressure%Vapor-Liquid Phase Equilibria for Carbon Dioxide-I- Isopentanol Binary System at Elevated Pressure

    Institute of Scientific and Technical Information of China (English)

    王琳; 曹丰璞; 刘珊珊; 杨浩

    2011-01-01

    High-pressure vapor-liquid phase equilibrium data for carbon dioxide+ isopentanol were measured at tempera- tures of 313.2, 323.1, 333.5 and 343.4 K in the pressure range of 4.64 to 12.71 MPa in a variable-volume high-pressure visual cell. The experimental data were well correlated with Peng-Robinson equation of state (PR-EOS) together with van der Waals-2 two-parameter mixing rule, and the binary interaction parameters were obtained. Henry coefficients and partial molar volumes of CO2 at infinite dilution were estimated based on Krichevsky-Kasarnovsky equation, and Henry coefficients increase with increasing temperature, however, partial molar volumes of CO2 at infinite dilution are negative and the magnitudes decrease with temperature.

  20. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A.

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  1. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  2. AlGaAsSb Vapor Phase Epitaxy and Laser Program.

    Science.gov (United States)

    1983-06-01

    graded or step-graded ternary film structures to fulfill the role of a sub- strate. One of the objectives of the program, however, was to determine the...0.02 ur/mmn. 27 MRDC81-14083 Fig. 11 RED pattern of the ( iaSb sample of Fig. 6. 28 7- 7 crystal. No samples from this group were submitted to SEM

  3. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  4. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  5. Incorporating Phase-Dependent Polarizability in Non-Additive Electrostatic Models for Molecular Dynamics Simulations of the Aqueous Liquid-Vapor Interface.

    Science.gov (United States)

    Bauer, Brad A; Warren, G Lee; Patel, Sandeep

    2009-02-10

    We discuss a new classical water force field that explicitly accounts for differences in polarizability between liquid and vapor phases. The TIP4P-QDP (4-point transferable intermolecular potential with charge dependent-polarizability) force field is a modification of the original TIP4P-FQ fluctuating charge water force field of Rick et al.(1) that self-consistently adjusts its atomic hardness parameters via a scaling function dependent on the M-site charge. The electronegativity (χ) parameters are also scaled in order to reproduce condensed-phase dipole moments of comparable magnitude to TIP4P-FQ. TIP4P-QDP is parameterized to reproduce experimental gas-phase and select condensed-phase properties. The TIP4P-QDP water model possesses a gas phase polarizability of 1.40 Å(3) and gas-phase dipole moment of 1.85 Debye, in excellent agreement with experiment and high-level ab initio predictions. The liquid density of TIP4P-QDP is 0.9954(±0.0002) g/cm(3) at 298 K and 1 atmosphere, and the enthalpy of vaporization is 10.55(±0.12) kcal/mol. Other condensed-phase properties such as the isobaric heat capacity, isothermal compressibility, and diffusion constant are also calculated within reasonable accuracy of experiment and consistent with predictions of other current state-of-the-art water force fields. The average molecular dipole moment of TIP4P-QDP in the condensed phase is 2.641(±0.001) Debye, approximately 0.02 Debye higher than TIP4P-FQ and within the range of values currently surmised for the bulk liquid. The dielectric constant, ε = 85.8 ± 1.0, is 10% higher than experiment. This is reasoned to be due to the increase in the condensed phase dipole moment over TIP4P-FQ, which estimates ε remarkably well. Radial distribution functions for TIP4P-QDP and TIP4P-FQ show similar features, with TIP4P-QDP showing slightly reduced peak heights and subtle shifts towards larger distance interactions. Since the greatest effects of the phase-dependent polarizability are

  6. Incorporating Phase-Dependent Polarizability in Non-Additive Electrostatic Models for Molecular Dynamics Simulations of the Aqueous Liquid-Vapor Interface

    Science.gov (United States)

    Bauer, Brad A.; Warren, G. Lee; Patel, Sandeep

    2012-01-01

    We discuss a new classical water force field that explicitly accounts for differences in polarizability between liquid and vapor phases. The TIP4P-QDP (4-point transferable intermolecular potential with charge dependent-polarizability) force field is a modification of the original TIP4P-FQ fluctuating charge water force field of Rick et al.1 that self-consistently adjusts its atomic hardness parameters via a scaling function dependent on the M-site charge. The electronegativity (χ) parameters are also scaled in order to reproduce condensed-phase dipole moments of comparable magnitude to TIP4P-FQ. TIP4P-QDP is parameterized to reproduce experimental gas-phase and select condensed-phase properties. The TIP4P-QDP water model possesses a gas phase polarizability of 1.40 Å3 and gas-phase dipole moment of 1.85 Debye, in excellent agreement with experiment and high-level ab initio predictions. The liquid density of TIP4P-QDP is 0.9954(±0.0002) g/cm3 at 298 K and 1 atmosphere, and the enthalpy of vaporization is 10.55(±0.12) kcal/mol. Other condensed-phase properties such as the isobaric heat capacity, isothermal compressibility, and diffusion constant are also calculated within reasonable accuracy of experiment and consistent with predictions of other current state-of-the-art water force fields. The average molecular dipole moment of TIP4P-QDP in the condensed phase is 2.641(±0.001) Debye, approximately 0.02 Debye higher than TIP4P-FQ and within the range of values currently surmised for the bulk liquid. The dielectric constant, ε = 85.8 ± 1.0, is 10% higher than experiment. This is reasoned to be due to the increase in the condensed phase dipole moment over TIP4P-FQ, which estimates ε remarkably well. Radial distribution functions for TIP4P-QDP and TIP4P-FQ show similar features, with TIP4P-QDP showing slightly reduced peak heights and subtle shifts towards larger distance interactions. Since the greatest effects of the phase-dependent polarizability are

  7. Bragg projection ptychography on niobium phase domains

    Science.gov (United States)

    Burdet, Nicolas; Shi, Xiaowen; Clark, Jesse N.; Huang, Xiaojing; Harder, Ross; Robinson, Ian

    2017-07-01

    Bragg projection ptychography (BPP) is a coherent x-ray diffraction imaging technique which combines the strengths of scanning microscopy with the phase contrast of x-ray ptychography. Here we apply it for high resolution imaging of the phase-shifted crystalline domains associated with epitaxial growth. The advantages of BPP are that the spatial extent of the sample is arbitrary, it is nondestructive, and it gives potentially diffraction limited spatial resolution. Here we demonstrate the application of BPP for revealing the domain structure caused by epitaxial misfit in a nanostructured metallic thin film. Experimental coherent diffraction data were collected from a niobium thin film, epitaxially grown on a sapphire substrate as the beam was scanned across the sample. The data were analyzed by BPP using a carefully selected combination of refinement procedures. The resulting image shows a close packed array of epitaxial domains, shifted with respect to each other due to misfit between the film and its substrate.

  8. Composition and Morphology Control of Metal Dichalcogenides via Chemical Vapor Deposition for Photovoltaic and Nanoelectronic Applications

    Science.gov (United States)

    Samad, Leith L. J.

    The body of work reviewed here encompasses a variety of metal dichalcogenides all synthesized using chemical vapor deposition (CVD) for solar and electronics applications. The first reported phase-pure CVD synthesis of iron pyrite thin films is presented with detailed structural and electrochemical analysis. The phase-pure thin film and improved crystal growth on a metallic backing material represents one of the best options for potential solar applications using iron pyrite. Large tin-sulfur-selenide solid solution plates with tunable bandgaps were also synthesized via CVD as single-crystals with a thin film geometry. Solid solution tin-sulfur-selenide plates were demonstrated to be a new material for solar cells with the first observed solar conversion efficiencies up to 3.1%. Finally, a low temperature molybdenum disulfide vertical heterostructure CVD synthesis with layered controlled growth was achieved with preferential growth enabled by Van der Waals epitaxy. Through recognition of additional reaction parameters, a fully regulated CVD synthesis enabled the controlled growth of 1-6 molybdenum disulfide monolayers for nanoelectronic applications. The improvements in synthesis and materials presented here were all enabled by the control afforded by CVD such that advances in phase purity, growth, and composition control of several metal dichalcogenides were achieved. Further work will be able to take full advantage of these advances for future solar and electronics technologies.

  9. Deposition of Metal-Organic Frameworks by Liquid-Phase Epitaxy: The Influence of Substrate Functional Group Density on Film Orientation

    Directory of Open Access Journals (Sweden)

    Christof Wöll

    2012-09-01

    Full Text Available The liquid phase epitaxy (LPE of the metal-organic framework (MOF HKUST-1 has been studied for three different COOH-terminated templating organic surfaces prepared by the adsorption of self-assembled monolayers (SAMs on gold substrates. Three different SAMs were used, mercaptohexadecanoic acid (MHDA, 4’-carboxyterphenyl-4-methanethiol (TPMTA and 9-carboxy-10-(mercaptomethyltriptycene (CMMT. The XRD data demonstrate that highly oriented HKUST-1 SURMOFs with an orientation along the (100 direction was obtained on MHDA-SAMs. In the case of the TPMTA-SAM, the quality of the deposited SURMOF films was found to be substantially inferior. Surprisingly, for the CMMT-SAMs, a different growth direction was obtained; XRD data reveal the deposition of highly oriented HKUST-1 SURMOFs grown along the (111 direction.

  10. Vapor-phase polymerization of poly(3, 4-ethylenedioxythiophene) nanofibers on carbon cloth as electrodes for flexible supercapacitors

    Science.gov (United States)

    Zhao, Xin; Dong, Mengyang; Zhang, Junxian; Li, Yingzhi; Zhang, Qinghua

    2016-09-01

    In this study, an evaporative vapor-phase polymerization approach was employed to fabricate vertically aligned poly(3, 4-ethylenedioxythiophene) (PEDOT) nanofibers on the surface of carbon cloth (CC). Optimized reaction conditions can obtain well distributed and uniform layers of high-aspect-ratio PEDOT nanofibers on CC. The hierarchical PEDOT/CC structure as a freestanding electrode exhibits good electrochemical properties. As a flexible symmetric supercapacitor, the PEDOT/CC hybrid electrode displays a specific areal capacitance of 201.4 mF cm-2 at 1 mA cm-2, good flexibility with a higher value (204.6 mF cm-2) in the bending state, and a good cycling stability of 92.4% after 1000 cycles. Moreover, the device shows a maximum energy density of 4.0 Wh kg-1 (with a power density of 3.2 kW kg-1) and a maximum power density of 4.2 kW kg-1 (with an energy density of 3.1 Wh kg-1). The results demonstrate that PEDOT may be a promising material for storage devices through a simple and efficient vapor-phase polymerization process with precisely controlled reaction conditions.

  11. Prediction of the vapor pressure and vaporization enthalpy of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids.

    Science.gov (United States)

    Diedenhofen, Michael; Klamt, Andreas; Marsh, Kenneth; Schäfer, Ansgar

    2007-09-07

    The vapor pressures and vaporization enthalpies of a series of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids have been predicted with two different approaches using the COSMO-RS method and quantum chemical gas phase calculations. While the calculated enthalpies are in good agreement with the experimental data, COSMO-RS seems to underestimate the vapor pressures by roughly 0.5-4 log units dependent on the IL and approach used.

  12. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    Science.gov (United States)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and lattice images.

  13. Growth of Cd0.96Zn0.04Te single crystals by vapor phase gas transport method

    Directory of Open Access Journals (Sweden)

    S. H. Tabatabai Yazdi

    2006-03-01

    Full Text Available   Cd0.96Zn0.04Te crystals were grown using vapor phase gas transport method (VPGT. The results show that dendritic crystals with grain size up to 3.5 mm can be grown with this technique. X-ray diffraction and Laue back-reflection patterns show that dendritic crystals are single-phase, whose single crystal grains are randomly oriented with respect to the gas-transport axis. Electrical measurements, carried out using Van der Pauw method, show that the as-grown crystals have resistivity of about 104 Ω cm and n-type conductivity.

  14. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  15. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  16. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  17. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  18. Theoretical Investigation of the Structural Stabilities of Ceria Surfaces and Supported Metal Nanocluster in Vapor and Aqueous Phases

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Zhibo [State Key Laboratory of Chemical Resource Engineering, Beijing University of Chemical Technology, Beijing 100029, China; Institute for Integrated Catalysis, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Liu, Ning [State Key Laboratory of Chemical Resource Engineering, Beijing University of Chemical Technology, Beijing 100029, China; Institute for Integrated Catalysis, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Chen, Biaohua [State Key Laboratory of Chemical Resource Engineering, Beijing University of Chemical Technology, Beijing 100029, China; Li, Jianwei [State Key Laboratory of Chemical Resource Engineering, Beijing University of Chemical Technology, Beijing 100029, China; Mei, Donghai [Institute for Integrated Catalysis, Pacific Northwest National Laboratory, Richland, Washington 99352, United States

    2018-01-25

    Understanding the structural stability and dynamics at the interface between the solid metal oxide and aqueous phase is significant in a variety of industrial applications including heterogeneous catalysis and environmental remediation. In the present work, the stabilities of three low-index ceria (CeO2) surfaces, i.e., (111), (110) and (100) in vapor and aqueous phases were studied using ab initio molecular dynamics simulations and density functional theory (DFT) calculations. Gibbs surface free energies as a function of temperature, water partial pressure, and water coverages were calculated using DFT based atomistic thermodynamic approach. On the basis of surface free energies, the morphology and exposed surface structures of the CeO2 nanoparticle were predicted using Wulff construction principle. It is found that the partially hydroxylated (111) and (100) are two major surface structures of CeO2 nanoparticles in vapor phase at ambient temperature (300 K). As the temperature increases, the fully dehydrated (111) surface gradually becomes the most dominant surface structure. While in aqueous phase, the exposed surface of the CeO2 nanoparticle is dominated by the hydroxylated (110) structure at 393 K. Finally, the morphology and stability of a cuboctahedron Pt13 nanocluster supported on CeO2 surfaces in both gas and aqueous phases were investigated. In gas phase, the supported Pt13 nanocluster has the tendency to wetting the CeO2 surface due to the strong metal-support interaction. The calculated interaction energies suggest the CeO2(110) surface provides the best stability for the Pt13 nanocluster. The CeO2 supported Pt13 nanoclusters are oxidized. Compared to the gas phase, the morphology of the CeO2 supported Pt13 nanocluster is less distorted due to the solvation effect provided by surrounding water molecules in aqueous phase. More electrons are transferred from the Pt13 nanocluster to the CeO2 support, implying the supported Pt13 nanocluster is further

  19. Migration of carbon nanotubes from liquid phase to vapor phase in the refrigerant-based nanofluid pool boiling

    Directory of Open Access Journals (Sweden)

    Peng Hao

    2011-01-01

    Full Text Available Abstract The migration characteristics of carbon nanotubes from liquid phase to vapor phase in the refrigerant-based nanofluid pool boiling were investigated experimentally. Four types of carbon nanotubes with the outside diameters from 15 to 80 nm and the lengths from 1.5 to 10 μm were used in the experiments. The refrigerants include R113, R141b and n-pentane. The oil concentration is from 0 to 10 wt.%, the heat flux is from 10 to 100 kW·m-2, and the initial liquid-level height is from 1.3 to 3.4 cm. The experimental results indicate that the migration ratio of carbon nanotube increases with the increase of the outside diameter or the length of carbon nanotube. For the fixed type of carbon nanotube, the migration ratio decreases with the increase of the oil concentration or the heat flux, and increases with the increase of the initial liquid-level height. The migration ratio of carbon nanotube increases with the decrease of dynamic viscosity of refrigerant or the increase of liquid phase density of refrigerant. A model for predicting the migration ratio of carbon nanotubes in the refrigerant-based nanofluid pool boiling is proposed, and the predictions agree with 92% of the experimental data within a deviation of ±20%.

  20. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. High temperature thermodynamics and vaporization of the zirconium--niobium--oxygen system

    International Nuclear Information System (INIS)

    Rinehart, G.H.

    1978-01-01

    The vaporization behavior of the Zr--Nb--O system was studied by means of successive vaporization, Knudsen effusion-target collection experiments, and mass spectrometric analysis of the vapors effusing from a Knudsen crucible. The successive vaporization experiments were performed on two ternary samples in open crucibles. X-ray powder diffraction patterns of the residues and x-ray fluorescence analysis of the condensates and residues indicated the preferential vaporization of niobium-containing species with the composition of the residue subsequently becoming closer to that of congruently vaporizing ZrO/sub 2-x/. The Knudsen effusion-target collection experiments were employed on two samples, pure NbO 2 (s) and a two-phase ZrO 2 --NbO 2 mixture, in order to obtain information on the activity of NbO 2 in the two-phase mixture. Second law enthalpies and entropies of sublimation as well as third law enthalpies were obtained for both systems. The vaporization behaviors of five compositions in the Zr--Nb--O system, NbO 2 , NbO, a ZrO 2 --NbO 2 two-phase mixture, Nb 2 O 5 , and Zr 6 Nb 2 O 17 , were investigated. Above Nb 2 O 5 and the fully oxidized Zr 6 Nb 2 O 17 oxygen is preferentially lost; over NbO 2 , the two-phase ZrO 2 --NbO 2 system, and NbO the principal gaseous species is NbO 2

  2. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  3. Waste Tank Vapor Project: Tank vapor database development

    International Nuclear Information System (INIS)

    Seesing, P.R.; Birn, M.B.; Manke, K.L.

    1994-09-01

    The objective of the Tank Vapor Database (TVD) Development task in FY 1994 was to create a database to store, retrieve, and analyze data collected from the vapor phase of Hanford waste tanks. The data needed to be accessible over the Hanford Local Area Network to users at both Westinghouse Hanford Company (WHC) and Pacific Northwest Laboratory (PNL). The data were restricted to results published in cleared reports from the laboratories analyzing vapor samples. Emphasis was placed on ease of access and flexibility of data formatting and reporting mechanisms. Because of time and budget constraints, a Rapid Application Development strategy was adopted by the database development team. An extensive data modeling exercise was conducted to determine the scope of information contained in the database. a A SUN Sparcstation 1000 was procured as the database file server. A multi-user relational database management system, Sybase reg-sign, was chosen to provide the basic data storage and retrieval capabilities. Two packages were chosen for the user interface to the database: DataPrism reg-sign and Business Objects trademark. A prototype database was constructed to provide the Waste Tank Vapor Project's Toxicology task with summarized and detailed information presented at Vapor Conference 4 by WHC, PNL, Oak Ridge National Laboratory, and Oregon Graduate Institute. The prototype was used to develop a list of reported compounds, and the range of values for compounds reported by the analytical laboratories using different sample containers and analysis methodologies. The prototype allowed a panel of toxicology experts to identify carcinogens and compounds whose concentrations were within the reach of regulatory limits. The database and user documentation was made available for general access in September 1994

  4. Magnetic properties of novel epitaxial films

    International Nuclear Information System (INIS)

    Bader, S.D.; Moog, E.R.

    1986-09-01

    The surface magneto-optic Kerr effect (SMOKE) is used to explore the magnetism of ultra-thin Fe Films extending into the monolayer regime. Both bcc α-Fe and fcc γ-Fe single-crystalline, multilayer films are prepared on the bulk-terminated (1 x 1) structures of Au(100) and Cu(100), respectively. The characterizations of epitaxy and growth mode are performed using low energy electron diffraction and Auger electron spectroscopy. Monolayer-range Fe/Au(100) is ferromagnetic with a lower Curie temperature than bulk α-Fe. The controversial γ-Fe/Cu(100) system exhibits a striking, metastable, surface magnetic phase at temperatures above room temperature, but does not exhibit bulk ferromagnetism

  5. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  6. Quantum wire spectroscopy and epitaxial growth velocities in InGaAs-InP heterostructures

    International Nuclear Information System (INIS)

    Worlock, J.M.; Peeters, F.M.; Cox, H.M.; Morais, P.C.

    1990-06-01

    We study excitons bound to quantum wires of InGaAs embedded in an InP matrix, where the wires vary from 2.93A angstrom to a.1172A angstrom (one to four monolayers) thick and from 25A angstrom to 250A angstrom wide. We combine spectroscopic data from measurements of photoluminescence with variational calculations of the binding energies of excitons to the wires to deduce the wire widths and thickness. The widths are then related to the growth times to deduce lateral growth velocities in the vapor levitation epitaxial technique. Monolayer growth rates, at ∼ 80A angstrom/sec, are significantly faster than growth rates for the multilayer wires. (author)

  7. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  8. Development of an acoustic wave based biosensor for vapor phase detection of small molecules

    Science.gov (United States)

    Stubbs, Desmond

    For centuries scientific ingenuity and innovation have been influenced by Mother Nature's perfect design. One of her more elusive designs is that of the sensory olfactory system, an array of highly sensitive receptors responsible for chemical vapor recognition. In the animal kingdom this ability is magnified among canines where ppt (parts per trillion) sensitivity values have been reported. Today, detection dogs are considered an essential part of the US drug and explosives detection schemes. However, growing concerns about their susceptibility to extraneous odors have inspired the development of highly sensitive analytical detection tools or biosensors known as "electronic noses". In general, biosensors are distinguished from chemical sensors in that they use an entity of biological origin (e.g. antibody, cell, enzyme) immobilized onto a surface as the chemically-sensitive film on the device. The colloquial view is that the term "biosensors" refers to devices which detect the presence of entities of biological origin, such as proteins or single-stranded DNA and that this detection must take place in a liquid. Our biosensor utilizes biomolecules, specifically IgG monoclonal antibodies, to achieve molecular recognition of relatively small molecules in the vapor phase.

  9. Extent of hydrogen coverage of Si(001) under chemical vapor deposition conditions from ab initio approaches

    International Nuclear Information System (INIS)

    Rosenow, Phil; Tonner, Ralf

    2016-01-01

    The extent of hydrogen coverage of the Si(001) c(4 × 2) surface in the presence of hydrogen gas has been studied with dispersion corrected density functional theory. Electronic energy contributions are well described using a hybrid functional. The temperature dependence of the coverage in thermodynamic equilibrium was studied computing the phonon spectrum in a supercell approach. As an approximation to these demanding computations, an interpolated phonon approach was found to give comparable accuracy. The simpler ab initio thermodynamic approach is not accurate enough for the system studied, even if corrections by the Einstein model for surface vibrations are considered. The on-set of H 2 desorption from the fully hydrogenated surface is predicted to occur at temperatures around 750 K. Strong changes in hydrogen coverage are found between 1000 and 1200 K in good agreement with previous reflectance anisotropy spectroscopy experiments. These findings allow a rational choice for the surface state in the computational treatment of chemical reactions under typical metal organic vapor phase epitaxy conditions on Si(001).

  10. Extent of hydrogen coverage of Si(001) under chemical vapor deposition conditions from ab initio approaches

    Energy Technology Data Exchange (ETDEWEB)

    Rosenow, Phil; Tonner, Ralf, E-mail: tonner@chemie.uni-marburg.de [Fachbereich Chemie and Wissenschaftliches Zentrum für Materialwissenschaften, Philipps-Universität Marburg, Hans-Meerwein-Straße, Marburg 35032 (Germany)

    2016-05-28

    The extent of hydrogen coverage of the Si(001) c(4 × 2) surface in the presence of hydrogen gas has been studied with dispersion corrected density functional theory. Electronic energy contributions are well described using a hybrid functional. The temperature dependence of the coverage in thermodynamic equilibrium was studied computing the phonon spectrum in a supercell approach. As an approximation to these demanding computations, an interpolated phonon approach was found to give comparable accuracy. The simpler ab initio thermodynamic approach is not accurate enough for the system studied, even if corrections by the Einstein model for surface vibrations are considered. The on-set of H{sub 2} desorption from the fully hydrogenated surface is predicted to occur at temperatures around 750 K. Strong changes in hydrogen coverage are found between 1000 and 1200 K in good agreement with previous reflectance anisotropy spectroscopy experiments. These findings allow a rational choice for the surface state in the computational treatment of chemical reactions under typical metal organic vapor phase epitaxy conditions on Si(001).

  11. Extent of hydrogen coverage of Si(001) under chemical vapor deposition conditions from ab initio approaches

    Science.gov (United States)

    Rosenow, Phil; Tonner, Ralf

    2016-05-01

    The extent of hydrogen coverage of the Si(001) c(4 × 2) surface in the presence of hydrogen gas has been studied with dispersion corrected density functional theory. Electronic energy contributions are well described using a hybrid functional. The temperature dependence of the coverage in thermodynamic equilibrium was studied computing the phonon spectrum in a supercell approach. As an approximation to these demanding computations, an interpolated phonon approach was found to give comparable accuracy. The simpler ab initio thermodynamic approach is not accurate enough for the system studied, even if corrections by the Einstein model for surface vibrations are considered. The on-set of H2 desorption from the fully hydrogenated surface is predicted to occur at temperatures around 750 K. Strong changes in hydrogen coverage are found between 1000 and 1200 K in good agreement with previous reflectance anisotropy spectroscopy experiments. These findings allow a rational choice for the surface state in the computational treatment of chemical reactions under typical metal organic vapor phase epitaxy conditions on Si(001).

  12. Production of higher quality bio-oils by in-line esterification of pyrolysis vapor

    Science.gov (United States)

    Hilten, Roger Norris; Das, Keshav; Kastner, James R; Bibens, Brian P

    2014-12-02

    The disclosure encompasses in-line reactive condensation processes via vapor phase esterification of bio-oil to decease reactive species concentration and water content in the oily phase of a two-phase oil, thereby increasing storage stability and heating value. Esterification of the bio-oil vapor occurs via the vapor phase contact and subsequent reaction of organic acids with ethanol during condensation results in the production of water and esters. The pyrolysis oil product can have an increased ester content and an increased stability when compared to a condensed pyrolysis oil product not treated with an atomized alcohol.

  13. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R.G.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  14. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R G; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  15. Comprehensive modeling of solid phase epitaxial growth using Lattice Kinetic Monte Carlo

    International Nuclear Information System (INIS)

    Martin-Bragado, Ignacio

    2013-01-01

    Damage evolution of irradiated silicon is, and has been, a topic of interest for the last decades for its applications to the semiconductor industry. In particular, sometimes, the damage is heavy enough to collapse the lattice and to locally amorphize the silicon, while in other cases amorphization is introduced explicitly to improve other implanted profiles. Subsequent annealing of the implanted samples heals the amorphized regions through Solid Phase Epitaxial Regrowth (SPER). SPER is a complicated process. It is anisotropic, it generates defects in the recrystallized silicon, it has a different amorphous/crystalline (A/C) roughness for each orientation, leaving pits in Si(1 1 0), and in Si(1 1 1) it produces two modes of recrystallization with different rates. The recently developed code MMonCa has been used to introduce a physically-based comprehensive model using Lattice Kinetic Monte Carlo that explains all the above singularities of silicon SPER. The model operates by having, as building blocks, the silicon lattice microconfigurations and their four twins. It detects the local configurations, assigns microscopical growth rates, and reconstructs the positions of the lattice locally with one of those building blocks. The overall results reproduce the (a) anisotropy as a result of the different growth rates, (b) localization of SPER induced defects, (c) roughness trends of the A/C interface, (d) pits on Si(1 1 0) regrown surfaces, and (e) bimodal Si(1 1 1) growth. It also provides physical insights of the nature and shape of deposited defects and how they assist in the occurrence of all the above effects

  16. Electrically Controllable Spontaneous Magnetism in Nanoscale Mixed Phase Multiferroics

    Energy Technology Data Exchange (ETDEWEB)

    He, Q.; Chu, Y. H.; Heron, J. T.; Yang, S. Y.; Wang, C. H.; Kuo, C. Y.; Lin, H. J.; Yu, P.; Liang, C. W.; Zeches, R. J.; Chen, C. T.; Arenholz, E.; Scholl, A.; Ramesh, R.

    2010-08-02

    The emergence of enhanced spontaneous magnetic moments in self-assembled, epitaxial nanostructures of tetragonal (T-phase) and rhombohedral phases (R-phase) of the multiferroic BiFeO{sub 3} system is demonstrated. X-ray magnetic circular dichroism based photoemission electron microscopy (PEEM) was applied to investigate the local nature of this magnetism. We find that the spontaneous magnetization of the R-phase is significantly enhanced above the canted antiferromagnetic moment in the bulk phase, as a consequence of a piezomagnetic coupling to the adjacent T-phase and the epitaxial constraint. Reversible electric field control and manipulation of this magnetic moment at room temperature is shown using a combination of piezoresponse force microscopy and PEEM studies.

  17. Step driven competitive epitaxial and self-limited growth of graphene on copper surface

    Directory of Open Access Journals (Sweden)

    Lili Fan

    2011-09-01

    Full Text Available The existence of surface steps was found to have significant function and influence on the growth of graphene on copper via chemical vapor deposition. The two typical growth modes involved were found to be influenced by the step morphologies on copper surface, which led to our proposed step driven competitive growth mechanism. We also discovered a protective role of graphene in preserving steps on copper surface. Our results showed that wide and high steps promoted epitaxial growth and yielded multilayer graphene domains with regular shape, while dense and low steps favored self-limited growth and led to large-area monolayer graphene films. We have demonstrated that controllable growth of graphene domains of specific shape and large-area continuous graphene films are feasible.

  18. On the vapor-liquid equilibrium in hydroprocessing reactors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, J.; Munteanu, M.; Farooqi, H. [National Centre for Upgrading Technology, Devon, AB (Canada)

    2009-07-01

    When petroleum distillates undergo hydrotreating and hydrocracking, the feedstock and hydrogen pass through trickle-bed catalytic reactors at high temperatures and pressures with large hydrogen flow. As such, the oil is partially vaporized and the hydrogen is partially dissolved in liquid to form a vapor-liquid equilibrium (VLE) system with both vapor and liquid phases containing oil and hydrogen. This may result in considerable changes in flow rates, physical properties and chemical compositions of both phases. Flow dynamics, mass transfer, heat transfer and reaction kinetics may also be modified. Experimental observations of VLE behaviours in distillates with different feedstocks under a range of operating conditions were presented. In addition, VLE was predicted along with its effects on distillates in pilot and commercial scale plants. tabs., figs.

  19. Vapor-phase hydrothermal transformation of HTiOF3 intermediates into {001} faceted anatase single-crystalline nanosheets.

    Science.gov (United States)

    Liu, Porun; Wang, Yun; Zhang, Haimin; An, Taicheng; Yang, Huagui; Tang, Zhiyong; Cai, Weiping; Zhao, Huijun

    2012-12-07

    For the first time, a facile, one-pot hydrofluoric acid vapor-phase hydrothermal (HF-VPH) method is demonstrated to directly grow single-crystalline anatase TiO(2) nanosheets with 98.2% of exposed {001} faceted surfaces on the Ti substrate via a distinctive two-stage formation mechanism. The first stage produces a new intermediate crystal (orthorhombic HTiOF(3) ) that is transformed into anatase TiO(2) nanosheets during the second stage. The findings reveal that the HF-VPH reaction environment is unique and differs remarkably from that of liquid-phase hydrothermal processes. The uniqueness of the HF-VPH conditions can be readily used to effectively control the nanostructure growth. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Numerical simulation of vapor film collapse behavior on high-temperature droplet surface with three-dimensional lattice gas cellular automata

    International Nuclear Information System (INIS)

    Tochio, Daisuke; Abe, Yutaka; Matsukuma, Yosuke

    2008-01-01

    It is pointed out that a vapor film on a premixed high-temperature droplet surface is needed to be collapsed to trigger vapor explosion. Thus, it is important to clarify the micromechanism of vapor film collapse behavior for the occurrence of vapor explosion. In a previous study, it is suggested experimentally that vapor film collapse behavior is dominated by phase change phenomena rather than by the surrounding fluid motion. In the present study, vapor film collapse behavior is investigated to clarify the dominant factor of vapor film collapse behavior with lattice gas automata of three-dimensional immiscible lattice gas model (3-D ILG model). First, in order to represent the boiling and phase change phenomena, the thermal model of a heat wall model and a phase change model is newly constructed. Next, the numerical simulation of vapor film collapse behavior is performed with and without the phase change effect. As a result, the computational result with the phase change effect is observed to be almost same as the experimental result. It can be considered that vapor film collapse behavior is dominated by phase change phenomena. (author)