WorldWideScience

Sample records for vapor deposition precursor

  1. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  2. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  3. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  4. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  5. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  6. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  7. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  8. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  9. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  10. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  11. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  12. Qualification of a sublimation tool applied to the case of metalorganic chemical vapor deposition of In{sub 2}O{sub 3} from In(tmhd){sub 3} as a solid precursor

    Energy Technology Data Exchange (ETDEWEB)

    Szkutnik, P. D., E-mail: pierre.szkutnik@cea.fr; Jiménez, C. [Université Grenoble Alpes, CNRS, LMGP, 3 Parvis Louis Néel, Minatec CS 50257, 38016 Grenoble Cedex 1 (France); Angélidès, L.; Todorova, V. [Air Liquide Electronics Systems, 8 rue des Méridiens–Sud Galaxie BP 228, 38433 Échirolles Cedex (France)

    2016-02-15

    A solid delivery system consisting of a source canister, a gas management, and temperature controlled enclosure designed and manufactured by Air Liquide Electronics Systems was tested in the context of gas-phase delivery of the In(tmhd){sub 3} solid precursor. The precursor stream was delivered to a thermal metalorganic chemical vapor deposition reactor to quantify deposition yield under various conditions of carrier gas flow and sublimation temperature. The data collected allowed the determination of characteristic parameters such as the maximum precursor flow rate (18.2 mg min{sup −1} in specified conditions) and the critical mass (defined as the minimum amount of precursor able to attain the maximum flow rate) found to be about 2.4 g, as well as an understanding of the influence of powder distribution inside the canister. Furthermore, this qualification enabled the determination of optimal delivery conditions which allowed for stable and reproducible precursor flow rates over long deposition times (equivalent to more than 47 h of experiment). The resulting In{sub 2}O{sub 3} layers was compared with those elaborated via pulsed liquid injection obtained in the same chemical vapor deposition chamber and under the same deposition conditions.

  13. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  14. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  15. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  16. Synthesis and characterization of graphene layers prepared by low-pressure chemical vapor deposition using triphenylphosphine as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Mastrapa, G.C.; Maia da Costa, M.E.H. Maia [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Larrude, D.G., E-mail: dunigl@vdg.fis.puc-rio.br [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Freire, F.L. [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Brazilian Center for Physical Research, 22290-180, Rio de Janeiro, RJ (Brazil)

    2015-09-15

    The synthesis of a single-layer graphene using a low-pressure Chemical Vapor Deposition (CVD) system with triphenylphosphine as precursor is reported. The amount of triphenylphosphine used as precursor was in the range of 10–40 mg. Raman spectroscopy was employed to analyze samples prepared with 10 mg of the precursor, and these spectra were found typical of graphene. The Raman measurements indicate that the progressive degradation of graphene occurs as the amount of triphenylphosphine increases. X-ray photoelectron spectroscopy measurements were performed to investigate the different chemical environments involving carbon and phosphorous atoms. Scanning electron microscopy and transmission electron microscopy were also employed and the results reveal the formation of dispersed nanostructures on top of the graphene layer, In addition, the number of these nanostructures is directly related to the amount of precursor used for sample growth. - Highlights: • We grow graphene using the solid precursor triphenylphosphine. • Raman analysis confirms the presence of monolayer graphene. • SEM images show the presence of small dark areas dispersed on the graphene surface. • Raman I{sub D}/I{sub G} ratio increases in the dark region of the graphene surface.

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  19. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  20. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  1. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  2. Iron selenide films by aerosol assisted chemical vapor deposition from single source organometallic precursor in the presence of surfactants

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Raja Azadar [Department of Chemistry, Quaid-i-Azam University, 45320 Islamabad (Pakistan); Badshah, Amin, E-mail: aminbadshah@yahoo.com [Department of Chemistry, Quaid-i-Azam University, 45320 Islamabad (Pakistan); Younis, Adnan [School of Materials Science and Engineering, University of New South Wales, Sydney 2052, NSW (Australia); Khan, Malik Dilshad [Department of Chemistry, Quaid-i-Azam University, 45320 Islamabad (Pakistan); Akhtar, Javeed [Department of Physics, COMSATS Institute of Information Technology, Park Road, Chak Shahzad, Islamabad (Pakistan)

    2014-09-30

    This article presents the synthesis and characterization (multinuclear nuclear magnetic resonance, Fourier transform infrared spectroscopy, carbon–hydrogen–nitrogen–sulfur analyzer, atomic absorption spectrometry and thermogravimetric analysis) of a single source organometallic precursor namely 1-acetyl-3-(4-ferrocenylphenyl)selenourea for the fabrication of iron selenide (FeSe) films on glass substrates using aerosol assisted chemical vapor deposition (AACVD). The changes in the morphologies of the films have been monitored by the use of two different surfactants i.e. triton X-100 and tetraoctylphosphonium bromide during AACVD. The role of surfactant has been evaluated by examining the interaction of the surfactants with the precursor by using UV–vis spectroscopy and cyclic voltammetry. The fabricated FeSe films have been characterized with powder X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. - Highlights: • Ferrocene incorporated selenourea (FIS) has been synthesized and characterized. • FeSe thin films have been fabricated from FIS. • Mechanism of film growth was studied with cyclic voltammetry and UV–vis spectroscopy.

  3. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  4. Analysis of mechanical properties of N2in situ doped polycrystalline 3C-SiC thin films by chemical vapor deposition using single-precursor hexamethyildisilane

    International Nuclear Information System (INIS)

    Kim, Kang-San; Han, Ki-Bong; Chung, Gwiy-Sang

    2010-01-01

    This paper describes the mechanical properties of poly (polycrystalline) 3C-SiC thin films with N 2 in situ doping. In this work, in situ doped poly 3C-SiC film was deposited by using the atmospheric pressure chemical vapor deposition (APCVD) method at 1200 deg. C using single-precursor hexamethyildisilane: Si 2 (CH 3 ) 6 (HMDS) as Si and C precursors, and 0∼100 sccm N 2 as the dopant source gas. The mechanical properties of doped poly 3C-SiC thin films were measured by nano-indentation. Young's modulus and hardness were measured to be 285 and 35 GPa at 0 sccm N 2 , respectively. Young's modulus and hardness decreased with increasing N 2 flow rate. Surface morphology was evaluated by atomic force microscopy (AFM) according to N 2 flow rate.

  5. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  6. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  7. Microwave plasma enhanced chemical vapor deposition growth of few-walled carbon nanotubes using catalyst derived from an iron-containing block copolymer precursor

    International Nuclear Information System (INIS)

    Wang Peng; Lu, Jennifer; Zhou, Otto

    2008-01-01

    The microwave plasma enhanced chemical vapor deposition (MPECVD) method is now commonly used for directional and conformal growth of carbon nanotubes (CNTs) on supporting substrates. One of the shortcomings of the current process is the lack of control of the diameter and diameter distribution of the CNTs due to difficulties in synthesizing well-dispersed catalysts. Recently, block copolymer derived catalysts have been developed which offer the potential of fine control of both the size of and the spacing between the metal clusters. In this paper we report the successful growth of CNTs with narrow diameter distribution using polystyrene-block-polyferrocenylethylmethylsilane (PS-b-PFEMS) as the catalyst precursor. The study shows that higher growth pressure leads to better CNT growth. Besides the pressure, the effects on the growth of CNTs of the growth parameters, such as temperature and precursor gas ratio, are also studied

  8. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  9. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  10. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  11. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  12. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  13. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  14. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  15. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  16. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  17. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  18. MOCVD and ALD of rare earth containing multifunctional materials. From precursor chemistry to thin film deposition and applications

    International Nuclear Information System (INIS)

    Milanov, Andrian Petrov

    2010-01-01

    The present thesis deals with the development of metal-organic complexes of rare elements. They should be used as novel precursors for the production of rare earth thin films by metal-organic chemical vapor deposition (MOCVD) and Atomic Layer Deposition (ALD). Within the work two precursor classes were examined, the tris-Malonato-complexes as well as the tris-Guanidinato-complexes of a series of rare earth metals. The latter showed excellent properties regarding to their volatility, their thermal stability, the defined decomposition and high reactivity towards water. They have been successfully used as precursors for the MOCVD of rare earth oxide layers. By using of a gadolinium guanidinate it could also be shown that the rare earth guanidinates are promising precursors for ALD of rare earth oxide and MOCVD of rare earth nitride layers. [de

  19. Solution precursor plasma deposition of nanostructured ZnO coatings

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2011-01-01

    Highlights: → The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. → It is highly capable of developing tailorable nanostructures. → This technique can be employed to spray the coatings on any kind of substrates including polymers. → The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance (∼65-80%) and reflectivity (∼65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 mΩ cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  20. Solution precursor plasma deposition of nanostructured ZnO coatings

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States)

    2011-08-15

    Highlights: {yields} The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. {yields} It is highly capable of developing tailorable nanostructures. {yields} This technique can be employed to spray the coatings on any kind of substrates including polymers. {yields} The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance ({approx}65-80%) and reflectivity ({approx}65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 m{Omega} cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  1. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  2. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  3. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  4. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  5. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  6. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  7. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  8. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  9. Understanding the electron-stimulated surface reactions of organometallic complexes to enable design of precursors for electron beam-induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Spencer, Julie A.; Rosenberg, Samantha G.; Barclay, Michael; Fairbrother, D. Howard [Johns Hopkins University, Department of Chemistry, Baltimore, MD (United States); Wu, Yung-Chien; McElwee-White, Lisa [University of Florida, Department of Chemistry, Gainesville, FL (United States)

    2014-12-15

    Standard practice in electron beam-induced deposition (EBID) is to use precursors designed for thermal processes, such as chemical vapor deposition (CVD). However, organometallic precursors that yield pure metal deposits in CVD often create EBID deposits with high levels of organic contamination. This contamination negatively impacts the deposit's properties (e.g., by increasing resistivity or decreasing catalytic activity) and severely limits the range of potential applications for metal-containing EBID nanostructures. To provide the information needed for the rational design of precursors specifically for EBID, we have employed an ultra-high vacuum (UHV) surface science approach to identify the elementary reactions of organometallic precursors during EBID. These UHV studies have demonstrated that the initial electron-induced deposition of the surface-bound organometallic precursors proceeds through desorption of one or more of the ligands present in the parent compound. In specific cases, this deposition step has been shown to proceed via dissociative electron attachment, involving low-energy secondary electrons generated by the interaction of the primary beam with the substrate. Electron beam processing of the surface-bound species produced in the initial deposition event usually causes decomposition of the residual ligands, creating nonvolatile fragments. This process is believed to be responsible for a significant fraction of the organic contaminants typically observed in EBID nanostructures. A few ligands (e.g., halogens) can, however, desorb during electron beam processing while other ligands (e.g., PF{sub 3}, CO) can thermally desorb if elevated substrate temperatures are used during deposition. Using these general guidelines for reactivity, we propose some design strategies for EBID precursors. The ultimate goal is to minimize organic contamination and thus overcome the key bottleneck for fabrication of relatively pure EBID nanostructures. (orig.)

  10. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  11. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  12. Synthesis and characterization of organosilicon compounds as novel precursors for CVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Ermakova, E.N.; Sysoev, S.V.; Nikulina, L.D. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation); Tsyrendorzhieva, I.P.; Rakhlin, V.I. [Favorskii Institute of Chemistry, Siberian Branch of the Russian Academy of Sciences, Favorskii Str. 1, Irkutsk 664033 (Russian Federation); Kosinova, M.L., E-mail: marina@niic.nsc.ru [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation)

    2015-12-20

    Highlights: • The temperature dependences of vapor pressure of four precursors have been measured. • The experimental data were used to calculate standard thermodynamic functions. • The thermodynamic modelling of SiC{sub x}N{sub y} films formation has been performed. - Abstract: Chemical vapor deposition using single-source organosilicon precursors is one of the most effective ways to produce multifunctional SiC{sub x}N{sub y} films. It is worth mentioning that the precursor molecule design affects both the composition and properties of films. Four organosilicon compounds containing a phenyl substituent (namely, trimethylphenylsilane, trimethyl(phenylamino) silane, trimethyl(benzylamino)silane and bis(trimethylsilyl)phenylamine) have been synthesized and characterized as potential CVD precursors for SiC{sub x}N{sub y} films synthesis. The compounds have been shown to be volatile and stable enough to be used in chemical vapor deposition of SiC{sub x}N{sub y} films. Thermodynamic modeling of the film deposition from the gaseous mixture of trimethylphenylsilane and ammonia in Si–C–N–H system has demonstrated that SiC{sub x}N{sub y} films can be deposited, and there is an opportunity to determine the area of appropriate deposition conditions.

  13. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  14. Ultralow k films by using a plasma-enhanced chemical vapor deposition porogen approach: Study of the precursor reaction mechanisms

    International Nuclear Information System (INIS)

    Castex, A.; Jousseaume, V.; Deval, J.; Bruat, J.; Favennec, L.; Passemard, G.

    2008-01-01

    As interconnects are scaled down, much effort is made to achieve ultralow k material with a dielectric constant lower than 2.5. Thus, many new precursors are investigated in plasma-enhanced chemical vapor deposition. This is particularly true with the porogen approach where two molecules are used: an organosilicon to create the silicon matrix and an organic molecule ''porogen'' that creates material porosity during a post-treatment such as annealing. In this article, the influence of the organosilicon molecular structure is investigated. Two ''matrix precursors'' with different structures are therefore compared. The first one, referred to as D5, has a ring structure (decamethyl pentacyclosiloxane); the second one, referred to as DEOMS, has a star structure (diethoxymethyl silane). The porogen organic molecule, referred to as CHO, is cyclohexen oxide. The fragmentation paths of the precursor molecules in the plasma are investigated by quadrupole mass spectroscopy and the film structure is studied by Fourier transform infrared spectroscopy. The mass spectroscopy analysis shows that the fragmentation in plasma is highest for DEOMS, intermediate for CHO, and lowest for D5 in comparable process conditions. At the maximum plasma power setting, the loss rate, which yields molecule consumption, is 43%-81% for the D5-CHO mixture, respectively, and 73%-37% for the DEOMS-CHO mixture, respectively. This is related to higher bond-dissociation energy for the siloxane (Si-O-Si) link in D5 than silane (Si-H), silylethoxyde (Si-OC 2 H 5 ) in DEOMS, or C-C and epoxy cycle in CHO. Indeed, a higher electron-energy relative threshold for dissociation under electron impact is measured for D5 (around 7 eV) than for DEOMS and CHO (around 4 eV). Moreover, the fragment structures differ from one precursor to another. Methyl groups are abstracted from D5 and a few polysiloxane chains are produced from pentacycle opening and fragmentation. In the case of DEOMS, many single silicon

  15. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  16. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  17. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  18. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  19. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  20. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  1. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  2. Synthesis and characterization of a liquid Eu precursor (EuCppm2) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Mitchell, Brandon; Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf; Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru; Ofuchi, Hironori; Honma, Tetsuo; Fujiwara, Yasufumi

    2017-01-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu 2+ to Eu 3+ could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  3. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  4. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  5. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  6. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  7. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  8. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  9. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  10. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  11. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  12. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  13. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  14. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  15. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  16. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  17. Synthesis and characterization of a liquid Eu precursor (EuCp{sup pm}{sub 2}) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Brandon, E-mail: bmitchell@wcupa.edu [Department of Physics, West Chester University, West Chester, PA, 19383 (United States); Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru [Kojundo Chemical Laboratory Co., Ltd., 5-1-28 Chiyoda, Sakado, Saitama, 350-0284 (Japan); Ofuchi, Hironori; Honma, Tetsuo [Japan Synchrotron Radiation Research Institute (JASRI/SPring-8), 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo, 679-5198 (Japan); Fujiwara, Yasufumi, E-mail: fujiwara@mat.eng.osaka-u.ac.jp [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan)

    2017-06-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu{sup 2+} to Eu{sup 3+} could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  18. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  19. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  20. Evaporation temperature-tuned physical vapor deposition growth engineering of one-dimensional non-Fermi liquid tetrathiofulvalene tetracyanoquinodimethane thin films

    DEFF Research Database (Denmark)

    Sarkar, I.; Laux, M.; Demokritova, J.

    2010-01-01

    We describe the growth of high quality tetrathiofulvalene tetracyanoquinodimethane (TTF-TCNQ) organic charge-transfer thin films which show a clear non-Fermi liquid behavior. Temperature dependent angle resolved photoemission spectroscopy and electronic structure calculations show that the growth...... of TTF-TCNQ films is accompanied by the unfavorable presence of neutral TTF and TCNQ molecules. The quality of the films can be controlled by tuning the evaporation temperature of the precursor in physical vapor deposition method....

  1. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  2. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  3. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  4. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  5. Vapor phase reactions in polymerization plasma for divinylsiloxane-bis-benzocyclobutene film deposition

    International Nuclear Information System (INIS)

    Kinoshita, Keizo; Nakano, Akinori; Kawahara, Jun; Kunimi, Nobutaka; Hayashi, Yoshihiro; Kiso, Osamu; Saito, Naoaki; Nakamura, Keiji; Kikkawa, Takamaro

    2006-01-01

    Vapor phase reactions in plasma polymerization of divinylsiloxane-bis-benzocyclobutene (DVS-BCB) low-k film depositions on 300 mm wafers were studied using mass spectrometry, in situ Fourier transform infrared, and a surface wave probe. Polymerization via Diels-Alder cycloaddition reaction was identified by the detection of the benzocyclohexene group. Hydrogen addition and methyl group desorption were also detected in DVS-BCB monomer and related large molecules. The dielectric constant k of plasma polymerized DVS-BCB with a plasma source power range up to 250 W was close to ∼2.7 of thermally polymerized DVS-BCB, and increased gradually over 250 W. The electron density at 250 W was about 1.5x10 10 cm -3 . The increase of the k value at higher power was explained by the decrease of both large molecular species via multistep dissociation and incorporation of silica components into the polymer. It was found that the reduction of electron density as well as precursor residence time is important for the plasma polymerization process to prevent the excess dissociation of the precursor

  6. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  7. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  8. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  9. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  10. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  11. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  12. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  13. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  14. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  15. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  16. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  17. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  18. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  19. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  20. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  1. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  2. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  3. Solution precursor plasma deposition of nanostructured CdS thin films

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2012-01-01

    Highlights: ► Inexpensive process with capability to produce large scale nanostructured coatings. ► Technique can be employed to spray the coatings on any kind of substrates including polymers. ► The CdS coatings developed have good electrical conductivity and optical properties. ► Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal α-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be ∼2.5 eV. The electrical resistivity of the films (0.243 ± 0.188 × 10 5 Ω cm) was comparable with the literature values. These nanostructured polycrystalline CdS films could be useful in sensing and solar applications.

  4. Solution precursor plasma deposition of nanostructured CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Inexpensive process with capability to produce large scale nanostructured coatings. Black-Right-Pointing-Pointer Technique can be employed to spray the coatings on any kind of substrates including polymers. Black-Right-Pointing-Pointer The CdS coatings developed have good electrical conductivity and optical properties. Black-Right-Pointing-Pointer Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal {alpha}-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be {approx}2.5 eV. The electrical resistivity of the films (0.243 {+-} 0.188 Multiplication-Sign 10{sup 5} {Omega} cm) was comparable with the literature

  5. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  6. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  7. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  8. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    Science.gov (United States)

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  9. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  10. Structural and optical properties of tin (II) sulfide thin films deposited using organophosphorus precursor (Ph3PS)

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-02-01

    Tin sulfide (SnS) thin films have been deposited onto glass substrates using triphenylphosphine sulfide (Ph3PS) as a sulfur precursor in a chemical vapor deposition reactor in a temperature range of 250 °C-400 °C. The influence of the sulphidisation temperature in the crystal structure, surface morphology, chemical composition and optical properties has been investigated. X-ray diffraction, energy dispersive analysis of x-rays, and Raman spectroscopy showed that pure SnS thin films have been successfully obtained at 250 °C. All the deposited films were polycrystalline and showed orthorhombic structure, with a preferential orientation according to the direction . The optical measurements showed that the films deposited exhibited a direct allowed transition and have a relatively high absorption coefficient. The presence of mixed tin sulfide phases granted by the variation of the sulphidisation temperature has affected the optical properties of the deposited films. The refractive index (n) and extinction coefficient (k), has low values compared to conventional semiconductor materials. The grown films can be considered as a good light absorbing material and a promising candidate for application in optoelectronic devices.

  11. Characterization of Pb(Zr, Ti)O sub 3 thin films prepared by metal-organic chemical-vapor deposition using a solid delivery system

    CERN Document Server

    Shin, J C; Hwang, C S; Kim, H J; Lee, J M

    1999-01-01

    Pb(Zr, Ti)O sub 3 (PZT) thin films were deposited on Pt/SiO sub 2 /Si substrates by metal-organic chemical-vapor deposition technique using a solid delivery system to improve the reproducibility of the deposition. The self-regulation mechanism, controlling the Pb-content of the film, was observed to work above a substrate temperature of 620 .deg. C. Even with the self-regulation mechanism, PZT films having low leakage current were obtained only when the molar mixing ratio of the input precursors was 1

  12. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  13. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  14. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  15. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  16. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  17. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  18. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  19. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  20. Chemical vapor deposition of ZrO{sub 2} thin films using Zr(NEt{sub 2}){sub 4} as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Bastianini, A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Battiston, G.A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Gerbasi, R. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Porchia, M. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Daolio, S. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati]|[CNR, Ist. di Polarografia ed Elettrochimica Preparativa, Padova (Italy)

    1995-06-01

    By using tetrakis(diethylamido) zirconium [Zr(NEt{sub 2}){sub 4}], excellent quality ZrO{sub 2} thin films were deposited with high growth rates on alumina and glass substrates by chemical vapor deposition. The depositions were carried out in a hot wall reactor at reduced pressure (200 Pa) in the temperature range 500-580 C and in the presence of oxygen. The as-grown films are colourless, smooth and well-adherent to the substrates. SIMS analysis evidenced pure ZrO{sub 2} with a slight superficial contamination of hydrocarbons and nitrogen. The films have a tapered polycrystalline columnar structure well visible in SEM micrographs. From X-ray diffraction analysis, the monoclinic phase resulted as the major phase together with a small variable amount of tetragonal zirconia. Under 550 C the as-grown films resulted highly textured and were dominated by the (020) orientation. The films were annealed in the range 600-1000 C and the effect of annealing on the texture and on the phase and dimensions of the crystallites have been studied. (orig.).

  1. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  2. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  3. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    Science.gov (United States)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  4. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  5. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  6. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  7. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  8. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  9. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  10. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  11. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  12. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  13. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  14. Nanoscale leakage current measurements in metal organic chemical vapor deposition crystalline SrTiO3 films

    International Nuclear Information System (INIS)

    Rozier, Y.; Gautier, B.; Hyvert, G.; Descamps, A.; Plossu, C.; Dubourdieu, C.; Ducroquet, F.

    2009-01-01

    The properties of SrTiO 3 thin films, grown by liquid injection metal organic chemical vapor deposition on Si/SiO 2 , using a mixture of precursors, have been investigated at the nanoscale using an Atomic Force Microscope in the so-called Conductive Atomic Force Microscopy mode. Maps of the leakage currents with a nanometric resolution have been obtained on films elaborated at different temperatures and stoichiometries in order to discriminate the role of each parameter on the onset of leakage currents in the resulting layers. It appears that the higher the deposition temperature, the higher the leakage currents of the films. The mapping with a nanometric precision allows to show a heterogeneous behaviour of the surface with leaky grains and insulating boundaries. The study of films elaborated at the same temperature with different compositions supports the assumption that the leakage currents on Ti-rich layers are far higher than on Sr-rich layers

  15. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  16. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  17. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  18. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  19. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  20. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  1. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  5. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  6. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  7. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  8. Deposition on disordered substrates with precursor layer diffusion

    Science.gov (United States)

    Filipe, J. A. N.; Rodgers, G. J.; Tavassoli, Z.

    1998-09-01

    Recently we introduced a one-dimensional accelerated random sequential adsorption process as a model for chemisorption with precursor layer diffusion. In this paper we consider this deposition process on disordered or impure substrates. The problem is solved exactly on both the lattice and continuum and for various impurity distributions. The results are compared with those from the standard random sequential adsorption model.

  9. Molecular precursors for the phase-change material germanium-antimony-telluride, Ge{sub 2}Sb{sub 2}Te{sub 5} (GST)

    Energy Technology Data Exchange (ETDEWEB)

    Harmgarth, Nicole; Zoerner, Florian; Engelhardt, Felix; Edelmann, Frank T. [Chemisches Institut, Otto-von-Guericke-Universitaet Magdeburg (Germany); Liebing, Phil [Laboratorium fuer Anorganische Chemie, ETH Zuerich (Switzerland); Burte, Edmund P.; Silinskas, Mindaugas [Institut fuer Mikro- und Sensorsysteme, Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2017-10-04

    This review provides an overview of the precursor chemistry that has been developed around the phase-change material germanium-antimony-telluride, Ge{sub 2}Sb{sub 2}Te{sub 5} (GST). Thin films of GST can be deposited by employing either chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques. In both cases, the success of the layer deposition crucially depends on the proper choice of suitable molecular precursors. Previously reported processes mainly relied on simple alkoxides, alkyls, amides and halides of germanium, antimony, and tellurium. More sophisticated precursor design provided a number of promising new aziridinides and guanidinates. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  11. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shukrullah, S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Mohamed, N. M., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Shaharun, M. S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my [Department of Fundamental and Applied Sciences, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia); Yasar, M., E-mail: Muhammad.yasar@ieee.org [Department of Electrical and Electronic Engineering, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia)

    2014-10-24

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure.

  12. Corrosion of Highly Specular Vapor Deposited Aluminum (VDA) on Earthshade Door Sandwich Structure

    Science.gov (United States)

    Plaskon, Daniel; Hsieh, Cheng

    2003-01-01

    High-resolution infrared (IR) imaging requires spacecraft instrument design that is tightly coupled with overall thermal control design. The JPL Tropospheric Emission Spectrometer (TES) instrument measures the 3-dimensional distribution of ozone and its precursors in the lower atmosphere on a global scale. The TES earthshade must protect the 180-K radiator and the 230-K radiator from the Earth IR and albedo. Requirements for specularity, emissivity, and solar absorptance of inner surfaces could only be met with vapor deposited aluminum (VDA). Circumstances leading to corrosion of the VDA are described. Innovative materials and processing to meet the optical and thermal cycle requirements were developed. Examples of scanning electronmicroscope (SEM), atomic force microscope (AFM), and other surface analysis techniques used in failure analysis, problem solving, and process development are given. Materials and process selection criteria and development test results are presented in a decision matrix. Examples of conditions promoting and preventing galvanic corrosion between VDA and graphite fiber-reinforced laminates are provided.

  13. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Shukrullah, S.; Mohamed, N. M.; Shaharun, M. S.; Yasar, M.

    2014-01-01

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure

  14. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  15. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  16. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  17. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  18. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  19. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  20. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  1. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  2. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  3. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  4. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  5. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  6. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  7. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  8. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  9. Computational Thermodynamics Analysis of Vaporizing Fuel Droplets in the Human Upper Airways

    Science.gov (United States)

    Zhang, Zhe; Kleinstreuer, Clement

    The detailed knowledge of air flow structures as well as particle transport and deposition in the human lung for typical inhalation flow rates is an important precursor for dosimetry-and-health-effect studies of toxic particles as well as for targeted drug delivery of therapeutic aerosols. Focusing on highly toxic JP-8 fuel aerosols, 3-D airflow and fluid-particle thermodynamics in a human upper airway model starting from mouth to Generation G3 (G0 is the trachea) are simulated using a user-enhanced and experimentally validated finite-volume code. The temperature distributions and their effects on airflow structures, fuel vapor deposition and droplet motion/evaporation are discussed. The computational results show that the thermal effect on vapor deposition is minor, but it may greatly affect droplet deposition in human airways.

  10. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  11. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  12. Synthesis of zinc sulfide by chemical vapor deposition using an organometallic precursor: Di-tertiary-butyl-disulfide

    International Nuclear Information System (INIS)

    Vasekar, Parag; Dhakal, Tara; Ganta, Lakshmikanth; Vanhart, Daniel; Desu, Seshu

    2012-01-01

    Zinc sulfide has gained popularity in the last few years as a cadmium-free heterojunction partner for thin film solar cells and is seen as a good replacement for cadmium sulfide due to better blue photon response and non-toxicity. In this work, zinc sulfide films are prepared using an organic sulfur source. We report a simple and repeatable process for development of zinc sulfide using a cost-effective and less hazardous organic sulfur source. The development of zinc sulfide has been studied on zinc oxide-coated glass where the zinc oxide is converted into zinc sulfide. Zinc oxide grown by atomic layer deposition as well as commercially available zinc oxide-coated glass was used. The zinc sulfide synthesis has been studied and the films are characterized using scanning electron microscopy, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and a UV–VIS spectrophotometer. XRD, XPS and optical characterization confirm the zinc sulfide phase formation. - Highlights: ► Synthesis of ZnS using a less-hazardous precursor, di-tertiary-butyl-disulfide. ► ZnS process optimized for two types of ZnO films. ► Preliminary results for a solar cell show an efficiency of 1.09%.

  13. The rational design of a Au(I) precursor for focused electron beam induced deposition

    NARCIS (Netherlands)

    Marashdeh, Ali; Tiesma, Thiadrik; van Velzen, Niels J. C.; Harder, Sjoerd; Havenith, Remco W. A.; De Hosson, Jeff T. M.; van Dorp, Willem F.

    2017-01-01

    Au(I) complexes are studied as precursors for focused electron beam induced processing (FEBIP). FEBIP is an advanced direct-write technique for nanometer-scale chemical synthesis. The stability and volatility of the complexes are characterized to design an improved precursor for pure Au deposition.

  14. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  15. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  16. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  17. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  18. Resonant infrared pulsed laser deposition of a polyimide precursor

    Energy Technology Data Exchange (ETDEWEB)

    Dygert, N L; Schriver, K E; Jr, R F Haglund [Department of Physics and Astronomy and W M Keck Foundation Free-Electron Laser Centre, Vanderbilt University, Nashville TN 37235 (United States)

    2007-04-15

    Poly(amic acid) (PAA), a precursor to polyimide, was successfully deposited on substrates without reaching curing temperature, by resonant infrared pulsed laser ablation. The PAA was prepared by dissolving pyromellitic dianhydride and 4, 4' oxidianiline in the polar solvent Nmethyl pyrrolidinone (NMP). The PAA was deposited in droplet-like morphologies when ablation occurred in air, and in string-like moieties in the case of ablation in vacuum. In the as-deposited condition, the PAA was easily removed by washing with NMP; however, once cured thermally for thirty minutes, the PAA hardened, indicating the expected thermosetting property. Plume shadowgraphy showed very clear contrasts in the ablation mechanism between ablation of the solvent alone and the ablation of the PAA, even at low concentrations. A Wavelength dependence in plume velocity was also observed.

  19. Method to determine the sticking coefficient of precursor molecules in atomic layer deposition

    International Nuclear Information System (INIS)

    Rose, M.; Bartha, J.W.

    2009-01-01

    A method to determine the sticking coefficient of precursor molecules used in atomic layer deposition (ALD) will be introduced. The sticking coefficient is an interesting quantity for comparing different ALD processes and reactors but it cannot be observed easily. The method relies on free molecular flow in nanoscale cylindrical holes. The sticking coefficient is determined for tetrakis(dimethylamino)titanium in combination with ozone. The proposed method can be applied independent of the type of reactor, precursor delivery system and precursors.

  20. Impact of reduced graphene oxide on MoS{sub 2} grown by sulfurization of sputtered MoO{sub 3} and Mo precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Pacley, Shanee, E-mail: shanee.pacley@us.af.mil; Brausch, Jacob; Beck-Millerton, Emory [U.S. Air Force Research Laboratory (AFRL)/Wright Patterson Air Force Base, Wright Patterson, Ohio 45433-7707 (United States); Hu, Jianjun; Jespersen, Michael [University of Dayton Research Institute, 300 College Park, Dayton, Ohio 45469 (United States); Hilton, Al [Wyle Laboratories, 4200 Colonel Glenn Hwy, Beavercreek, Ohio 45431 (United States); Waite, Adam [University Technology Corporation, 1270 N Fairfield Rd., Beavercreek, Ohio 45432 (United States); Voevodin, Andrey A. [Department of Materials Science and Engineering, University of North Texas, 1155 Union Circle, Denton, Texas 76203 (United States)

    2016-07-15

    Monolayer molybdenum disulfide (MoS{sub 2}), a two dimensional semiconducting dichalcogenide material with a bandgap of 1.8–1.9 eV, has demonstrated promise for future use in field effect transistors and optoelectronics. Various approaches have been used for MoS{sub 2} processing, the most common being chemical vapor deposition. During chemical vapor deposition, precursors such as Mo, MoO{sub 3}, and MoCl{sub 5} have been used to form a vapor reaction with sulfur, resulting in thin films of MoS{sub 2}. Currently, MoO{sub 3} ribbons and powder, and MoCl{sub 5} powder have been used. However, the use of ribbons and powder makes it difficult to grow large area-continuous films. Sputtering of Mo is an approach that has demonstrated continuous MoS{sub 2} film growth. In this paper, the authors compare the structural properties of MoS{sub 2} grown by sulfurization of pulse vapor deposited MoO{sub 3} and Mo precursor films. In addition, they have studied the effects that reduced graphene oxide (rGO) has on MoS{sub 2} structure. Reports show that rGO increases MoS{sub 2} grain growth during powder vaporization. Herein, the authors report a grain size increase for MoS{sub 2} when rGO was used during sulfurization of both sputtered Mo and MoO{sub 3} precursors. In addition, our transmission electron microscopy results show a more uniform and continuous film growth for the MoS{sub 2} films produced from Mo when compared to the films produced from MoO{sub 3}. Atomic force microscopy images further confirm this uniform and continuous film growth when Mo precursor was used. Finally, x-ray photoelectron spectroscopy results show that the MoS{sub 2} films produced using both precursors were stoichiometric and had about 7–8 layers in thickness, and that there was a slight improvement in stoichiometry when rGO was used.

  1. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors

    Directory of Open Access Journals (Sweden)

    Rachel M. Thorman

    2015-09-01

    Full Text Available Focused electron beam induced deposition (FEBID is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (3, Pt(PF34, Co(CO3NO, and W(CO6. Through these case studies, it is evident that this combination of studies can provide valuable insight into potential mechanisms governing deposit formation in FEBID. Although further experiments and new approaches are needed, these studies are an important stepping-stone toward better understanding the fundamental physics behind the deposition process and establishing design criteria for optimized FEBID precursors.

  2. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  3. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  4. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  5. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  6. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  7. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  8. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  9. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  10. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  11. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  12. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  13. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  14. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  15. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  16. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  18. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  19. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  20. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  1. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  2. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  3. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  4. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  5. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  6. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  7. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  8. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  9. Iron filled carbon nanostructures from different precursors

    International Nuclear Information System (INIS)

    Costa, S.; Borowiak-Palen, E.; Bachmatiuk, A.; Ruemmeli, M.H.; Gemming, T.; Kalenczuk, R.J.

    2008-01-01

    Here, we present a study on the synthesis of different nanostructures with one single-step in situ filling (encapsulation) via carbon vapor deposition (CVD). Ferrocene, acetylferrocene and iron (II) nitrate as iron precursors were explored. The application of each of these compounds resulted in different carbon nanomaterials such as: iron filled multiwalled carbon nanotubes with a low filling ratio (Fe-MWCNT), iron filled nanocapsules and unfilled MWCNT. The as-produced samples were purified by high temperature annealing and acid treatment. The purified materials were characterised using transmission electron microscopy (TEM) and Raman spectroscopy

  10. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    Science.gov (United States)

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  11. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  12. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  13. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  14. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  15. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    Science.gov (United States)

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  16. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  17. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  18. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  19. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  20. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  1. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  2. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  3. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  4. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  5. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  6. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  7. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  8. Surface-driven, one-step chemical vapor deposition of γ-Al{sub 4}Cu{sub 9} complex metallic alloy film

    Energy Technology Data Exchange (ETDEWEB)

    Prud’homme, Nathalie [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France); Université Paris-Sud 11, LEMHE/ICMMO, Bat 410, 91405 Orsay Cedex (France); Duguet, Thomas, E-mail: thomas.duguet@ensiacet.fr [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France); Samélor, Diane; Senocq, François; Vahlas, Constantin [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France)

    2013-10-15

    The present paper is a paradigm for the one-step formation of complex intermetallic coatings by chemical vapor deposition. It genuinely addresses the challenge of depositing an intermetallic coating with comparable contents of Cu and Al. Depending on processing conditions, a pure γ-Al{sub 4}Cu{sub 9} and multi-phase Al-Cu films are grown with wetting properties of the former being similar to its bulk counterpart. The deposition process and its parametric investigation are detailed. Two metalorganic precursors are used taking into account their transport and chemical properties, and deposition temperature ranges. On line and ex situ characterizations enlighten the competition which occurs at the growing surface between molecular fragments, and which limits growth rates. Notably, introducing a partial pressure of hydrogen gas during deposition reduces Al growth rate from dimethylethylamine alane (DMEAA), by displacing the hydrogen desorption equilibrium. This Al partial growth rate decrease is not sufficient to achieve a Cu/Al atomic ratio that is high enough for the formation of intermetallics with close Al and Cu compositions. A fivefold increase of the flux of the gaseous copper(I) cyclopentadienyl triethylphosphine CpCuPEt{sub 3}, whereas the DMEAA flux remains constant, results in the targeted Al/Cu atomic ratio equal to 44/56. Nevertheless, the global growth rate is rendered extremely low by the deposition inhibition caused by a massive phosphine adsorption (-PEt{sub 3}). Despite these limitations, the results pave the way towards the conformal coating of complex surface geometries by such intermetallic compounds.

  9. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  10. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  11. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  12. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  13. Synthesis of Three-dimensional Polymer Nanostructures via Chemical Vapor Deposition

    Science.gov (United States)

    Cheng, Kenneth

    Chemical vapor deposition (CVD) is a widely practiced methodology for preparing thin film polymer coatings, and the coatings can be applied to a broad range of materials, including three-dimensional solid structures and low-vapor pressure liquids. Reactive poly(p-xylylene) (PPX) coatings prepared by CVD can be used as a powerful tool for surface functionalization and bio-conjugation. The first portion of this dissertation serves to extend the use of CVD-based reactive PPX coatings as a surface functionalization strategy for the conjugation of biomolecules. Micro-structured PPX coatings having multiple surface reactive groups were fabricated. Multiple orthogonal click reactions were then employed to selectively immobilize galactose and mannobiose to the micro-structured polymer coatings. The presence of different types of carbohydrate enables lectins binding for examining ligands/cell receptor interactions. This dissertation also demonstrates the use of CVD-based reactive PPX coatings as intermediate layers to immobilize adenoviral vectors onto tissue scaffolds. The ability to tether adenoviral vectors on tissue scaffolds localizes the transduction near the scaffold surface and reduces acute toxicity and hepatic pathology cause by direct administration of the viral vector, providing a safe and efficient gene therapy delivery strategy. In the second portion of this dissertation, we explore the CVD of PPX onto surfaces coated with a thin layer of liquid crystal (LC). Instead of forming a conformal PPX coating encapsulating the LC layer, PPX assembled into an array of high-aspect ratio nanofibers inside the LC layer. The LC layer was demonstrated to act as a template where the anisotropic internal ordering of the LC facilitated the formation of nanofibers. The diameter of the nanofibers was in the range of 100 nm and could be tuned by type of LC template used, and the length of the nanofibers could be precisely controlled by varying the thickness of the LC film. The

  14. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  15. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  16. Process Parameters for Successful Synthesis of Carbon Nanotubes by Chemical Vapor Deposition: Implications for Chemical Mechanisms and Life-cycle Assessment

    Science.gov (United States)

    Xue, Ke

    Manufacturing of carbon nanotubes (CNTs) via chemical vapor deposition (CVD) calls for thermal treatment associated with gas-phase rearrangement and catalyst deposition to achieve high cost efficiency and limited influence on environmental impact. Taking advantage of higher degree of structure control and economical efficiency, catalytic chemical vapor deposition (CCVD) has currently become the most prevailing synthesis approach for the synthesis of large-scale pure CNTs in past years. Because the synthesis process of CNTs dominates the potential ecotoxic impacts, materials consumption, energy consumption and greenhouse gas emissions should be further limited to efficiently reduce life cycle ecotoxicity of carbon naotubes. However, efforts to reduce energy and material requirements in synthesis of CNTs by CCVD are hindered by a lack of mechanistic understanding. In this thesis, the effect of operating parameters, especially the temperature, carbon source concentration, and residence time on the synthesis were studied to improve the production efficiency in a different angle. Thus, implications on the choice of operating parameters could be provided to help the synthesis of carbon nanotubes. Here, we investigated the typical operating parameters in conditions that have yielded successful CNT production in the published academic literature of over seventy articles. The data were filtered by quality of the resultant product and deemed either "successful" or "unsuccessful" according to the authors. Furthermore, growth rate data were tabulated and used as performance metric for the process whenever possible. The data provided us an opportunity to prompt possible and common methods for practioners in the synthesis of CNTs and motivate routes to achieve energy and material minimization. The statistical analysis revealed that methane and ethylene often rely on thermal conversion process to form direct carbon precursor; further, methane and ethylene could not be the direct

  17. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  18. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  19. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  20. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  1. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  2. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  3. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  4. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  7. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  8. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  9. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  10. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  11. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  12. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  13. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  14. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  15. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  16. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  17. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  18. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  19. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  20. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  1. Preparation of thin films, with base to precursor materials of type Cu-In-Se elaborated by electrodeposition for the solar cells elaboration

    International Nuclear Information System (INIS)

    Fernandez, A.M.

    1999-01-01

    Thin films of chalcogenide compounds are promising because they have excellent optoelectronic characteristics to be applied in solar cells. In particular, CuInSe 2 and Cd Te thin films have shown high solar to electrical conversion efficiency. However, this efficiency is limited by the method of preparation, in this case, physical vapor deposition techniques are used. In order to increase the area of deposition t is necessary to use chemical methods, for example, electrodeposition technique. In this paper, the preparation of Cu-In-Se precursors thin films by electrochemical method is reported. These precursors were used to build solar cells with 7.9 % of efficiency. (Author)

  2. Characterization of electrochemically deposited films from aqueous and ionic liquid cobalt precursors toward hydrogen evolution reactions

    Energy Technology Data Exchange (ETDEWEB)

    Dushatinski, Thomas; Huff, Clay; Abdel-Fattah, Tarek M., E-mail: fattah@cnu.edu

    2016-11-01

    Highlights: • Co films deposition via aqueous and ionic liquid Precursors. • Hydrogen evolution produced from reactive surfaces. • Co deposited films characterized by SEM, AFM, EDX and XRD techniques. - Abstract: Electrodepositions of cobalt films were achieved using an aqueous or an ethylene glycol based non-aqueous solution containing choline chloride (vitamin B4) with cobalt chloride hexahydrate precursor toward hydrogen evolution reactions from sodium borohydride (NaBH{sub 4}) as solid hydrogen feedstock (SHF). The resulting cobalt films had reflectivity at 550 nm of 2.2% for aqueously deposited films (ACoF) and 1.3% for non-aqueously deposited films (NCoF). Surface morphology studied by scanning electron microscopy showed a positive correlation between particle size and thickness. The film thicknesses were tunable between >100 μm and <300 μm for each film. The roughness (Ra) value measurements by Dektak surface profiling showed that the NCoF (Ra = 165 nm) was smoother than the ACoF (Ra = 418 nm). The NCoFs and ACoFs contained only α phase (FCC) crystallites. The NCoFs were crystalline while the ACoFs were largely amorphous from X-ray diffraction analysis. The NCoF had an average Vickers hardness value of 84 MPa as compared to 176 MPa for ACoF. The aqueous precursor has a single absorption maximum at 510 nm and the non-aqueous precursor had three absorption maxima at 630, 670, and 695 nm. The hydrogen evolution reactions over a 1 cm{sup 2} catalytic surface with aqueous NaBH{sub 4} solutions generated rate constants (K) = equal to 4.9 × 10{sup −3} min{sup −1}, 4.6 × 10{sup −3} min{sup −1}, and 3.3 × 10{sup −3} min{sup −1} for ACoF, NCoF, and copper substrate respectively.

  3. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  4. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  5. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  6. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  7. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  8. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  9. Development of molecular precursors for deposition of indium sulphide thin film electrodes for photoelectrochemical applications.

    Science.gov (United States)

    Ehsan, Muhammad Ali; Peiris, T A Nirmal; Wijayantha, K G Upul; Olmstead, Marilyn M; Arifin, Zainudin; Mazhar, Muhammad; Lo, K M; McKee, Vickie

    2013-08-14

    Symmetrical and unsymmetrical dithiocarbamato pyridine solvated and non-solvated complexes of indium(III) with the general formula [In(S2CNRR')3]·n(py) [where py = pyridine; R,R' = Cy, n = 2 (1); R,R' = (i)Pr, n = 1.5 (2); NRR' = Pip, n = 0.5 (3) and R = Bz, R' = Me, n = 0 (4)] have been synthesized. The compositions, structures and properties of these complexes have been studied by means of microanalysis, IR and (1)H-NMR spectroscopy, X-ray single crystal and thermogravimetric (TG/DTG) analyses. The applicability of these complexes as single source precursors (SSPs) for the deposition of β-In2S3 thin films on fluorine-doped SnO2 (FTO) coated conducting glass substrates by aerosol-assisted chemical vapour deposition (AACVD) at temperatures of 300, 350 and 400 °C is studied. All films have been characterized by powder X-ray diffraction (PXRD) and energy dispersive X-ray analysis (EDX) for the detection of phase and stoichiometry of the deposit. Scanning electron microscopy (SEM) studies reveal that precursors (1)-(4), irrespective of different metal ligand design, generate comparable morphologies of β-In2S3 thin films at different temperatures. Direct band gap energies of 2.2 eV have been estimated from the UV-vis spectroscopy for the β-In2S3 films fabricated from precursors (1) and (4). The photoelectrochemical (PEC) properties of β-In2S3 were confirmed by recording the current-voltage plots under light and dark conditions. The plots showed anodic photocurrent densities of 1.25 and 0.65 mA cm(-2) at 0.23 V vs. Ag/AgCl for the β-In2S3 films made at 400 and 350 °C from the precursors (1) and (4), respectively. The photoelectrochemical performance indicates that the newly synthesised precursors are highly useful in fabricating β-In2S3 electrodes for solar energy harvesting and optoelectronic application.

  10. Growth and Properties of Cl- Incorporated ZnO Nanofilms Grown by Ultrasonic Spray-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Chen, Tingfang; Wang, Aiji; Kong, Lingrui; Li, Yongliang; Wang, Yinshu

    2016-04-01

    Pure and Cl- incorporated ZnO nanofilms were grown by the ultrasonic spray-assisted chemical vapor deposition (CVD) method. The properties of the nanofilms were investigated. The effects of growth temperature and Cl- concentration on the crystal structure, morphology, and optical properties of the nanofilms were studied. Temperature plays an important role in the growth mode and morphology of the pure nanofilms. Preferential growth along the c-axis occurs only at modulating temperature. Lower temperature suppresses the preferential growth, and higher temperature suppresses the growth of the nanofilms. The morphologies of the nanofilms change from lamellar and spherical structures into hexagonal platelets, then into separated nanoparticles with an increase in the temperature. Incorporating Cl- results in the lattice contracting gradually along with c-axis. Grains composing the nanofilms refine, and the optical gap broadens with increasing of Cl- concentration in growth precursor. Incorporating Cl- could reduce oxygen vacancies and passivate the non-irradiated centers, thus enhancing the UV emission and suppressing the visible emission of ZnO nanofilms.

  11. Demonstrating the utility of boron based precursor molecules for selective area deposition in a scanning tunneling microscope

    International Nuclear Information System (INIS)

    Perkins, F.K.; Onellion, M.; Lee, S.; Bowben, T.A.

    1992-01-01

    The scanning tunneling microscope (STM) can be used to selectively deposit material from a gaseous precursor compound. Ultrasmall (less than a 100 nm across) spatial dimensions for selective area deposition may be achieved by this means. In this paper the authors outline a scheme foreselecting and designing main group cluster compounds and organometallics for this type of selective area deposition using nido-decaborane(14) as an example

  12. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  13. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  14. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  15. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  16. An alternative non-vacuum and low cost ESAVD method for the deposition of Cu(In,Ga)Se{sub 2} absorber layers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Mingqing; Liu, Junpeng; Choy, KwangLeong [UCL Centre for Materials Discovery, University College London (United Kingdom); Hou, Xianghui [Faculty of Engineering, University of Nottingham (United Kingdom); Gibson, Paul [IMPT Ltd, Nottingham (United Kingdom); Salem, Elhamali; Koutsogeorgis, Demosthenes; Cranton, Wayne [School of Science and Technology, Nottingham Trent University (United Kingdom)

    2015-01-01

    In this article, an environmentally friendly and non-vacuum electrostatic spray assisted vapor deposition (ESAVD) process has been developed as an alternative and low cost method to deposit CIGS absorber layers. ESAVD is a non-vacuum chemical vapor deposition based process whereby a mixture of chemical precursors is atomized to form aerosol. The aerosol is charged and directed towards a heated substrate where it would undergo decomposition and chemical reaction to deposit a stable solid film onto the substrate. A sol containing copper, indium, and gallium salts, as well as thiourea was formulated into a homogeneous chemical precursor mixture for the deposition of CIGS films. After selenization, both XRD and Raman results show the presence of the characteristic peaks of CIGSSe in the fabricated thin films. From SEM images and XRF results, it can be seen that the deposited absorbers are promising for good performance solar cells. The fabricated solar cell with a typical structure of glass/Mo/CIGSSe/CdS/i-ZnO/ITO shows efficiency of 2.82% under 100 mW cm{sup -2} AM1.5 illumination. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  18. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  19. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  20. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  1. Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD Reactor

    Directory of Open Access Journals (Sweden)

    Curtisha D. Travis

    2013-08-01

    Full Text Available A laboratory-scale atomic layer deposition (ALD reactor system model is derived for alumina deposition using trimethylaluminum and water as precursors. Model components describing the precursor thermophysical properties, reactor-scale gas-phase dynamics and surface reaction kinetics derived from absolute reaction rate theory are integrated to simulate the complete reactor system. Limit-cycle solutions defining continuous cyclic ALD reactor operation are computed with a fixed point algorithm based on collocation discretization in time, resulting in an unambiguous definition of film growth-per-cycle (gpc. A key finding of this study is that unintended chemical vapor deposition conditions can mask regions of operation that would otherwise correspond to ideal saturating ALD operation. The use of the simulator for assisting in process design decisions is presented.

  2. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  3. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  4. Effect of oxygen and hydrogen on microstructure of pyrolytic carbon deposited from thermal decomposition of methane and ethanol

    Science.gov (United States)

    Ren, Biyun; Zhang, Shouyang; He, LiQun; Gu, Shengyue

    2018-05-01

    Chemical vapor infiltration (CVI) is the most extensive industrial preparation of carbon/carbon (C/C) composites. Precursor affects the CVI process considerably. In the present study, using carbon fiber bundles as preforms, methane and ethanol as precursors, the C/C composites were densified by decomposition of various gases in CVI. The thickness and texture of deposited pyrolytic carbon (PyC) were characterized by polarized light microscopy (PLM). The microstructure of PyC was analyzed by Raman spectroscopy. The morphologies of PyC were characterized by scanning electron microscopy (SEM). The composition of PyC was detected by X-ray photoelectron spectroscopy (XPS). Adding hydrogen in methane precursor resulted in a sharp decrease in the deposition rate and texture of PyC. Mixture of methane and ethanol as the precursor improved the deposition rate and texture remarkably. Besides, O element in ethanol was not remained as a constitution of PyC, and it was removed before the formation of PyC.

  5. Phase transitions during formation of Ag nanoparticles on In{sub 2}S{sub 3} precursor layers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Fu, Yanpeng; Dittrich, Thomas; Sáez-Araoz, Rodrigo; Schmid, Martina; Hinrichs, Volker; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2015-09-01

    Phase transitions have been investigated for silver deposition onto In{sub 2}S{sub 3} precursor layers by spray chemical vapor deposition from a trimethylphosphine (hexafluoroacetylacetonato) silver (Ag(hfacac)(PMe{sub 3})) solution. The formation of Ag nanoparticles (Ag NPs) on top of the semiconductor layer set on concomitant with the formation of AgIn{sub 5}S{sub 8}. The increase of the diameter of Ag NPs was accompanied by the evolution of orthorhombic AgInS{sub 2}. The formation of Ag{sub 2}S at the interface between Ag NPs and the semiconductor layer was observed. Surface photovoltage spectroscopy indicated charge separation and electronic transitions in the ranges of corresponding band gaps. The phase transition approach is aimed to be applied for the formation of plasmonic nanostructures on top of extremely thin semiconducting layers. - Highlights: • Silver nanoparticles were deposited onto In{sub 2}S{sub 3} precursor layer by spray pyrolysis. • The silver nanoparticle size and density could be controlled by deposition time. • Phase transitions during deposition and material properties were investigated. • The layers still show semiconducting properties after phase transitions. • Plasmonic absorption enhancement has been demonstrated.

  6. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  7. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  8. Organometallic Pt precursor on graphite substrate: deposition from SC CO2, reduction and morphology transformation as revealed by SFM

    International Nuclear Information System (INIS)

    Elmanovich, Igor V.; Naumkin, Alexander V.; Gallyamov, Marat O.; Khokhlov, Alexei R.

    2012-01-01

    Organometallic Pt precursor was deposited on model highly oriented pyrolytic graphite substrate from solutions in supercritical carbon dioxide. Morphology transformations during reduction process including real-time observations were studied by scanning force microscopy (SFM). We confirmed that SC CO 2 is a promising mediator in deposition process even for rather hydrophobic supports. SFM data show that thermal decomposition of the PtMe 2 (COD) precursor with subsequent hydrogen post-treatment allows one to obtain rather pure and well-defined Pt nanoparticles with average height above a substrate level of 4.5 ± 0.6 nm.

  9. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  10. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  11. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  12. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  13. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  14. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  15. Deposition and Characterization of Thin Films on Metallic Substrates

    Science.gov (United States)

    Gatica, Jorge E.

    2005-01-01

    A CVD method was successfully developed to produce conversion coatings on aluminum alloys surfaces with reproducible results with a variety of precursors. A well defined protocol to prepare the precursor solutions formulated in a previous research was extended to other additives. It was demonstrated that solutions prepared following such a protocol could be used to systematically generate protective coatings onto aluminum surfaces. Experiments with a variety of formulations revealed that a refined deposition protocol yields reproducible conversion coatings of controlled composition. A preliminary correlation between solution formulations and successful precursors was derived. Coatings were tested for adhesion properties enhancement for commercial paints. A standard testing method was followed and clear trends were identified. Only one precursors was tested systematically. Anticipated work on other precursors should allow a better characterization of the effect of intermetallics on the production of conversion/protective coatings on metals and ceramics. The significance of this work was the practical demonstration that chemical vapor deposition (CVD) techniques can be used to systematically generate protective/conversion coating on non-ferrous surfaces. In order to become an effective approach to replace chromate-based pre- treatment processes, namely in the aerospace or automobile industry, the process parameters must be defined more precisely. Moreover, the feasibility of scale-up designs necessitates a more comprehensive characterization of the fluid flow, transport phenomena, and chemical kinetics interacting in the process. Kinetic characterization showed a significantly different effect of magnesium-based precursors when compared to iron-based precursors. Future work will concentrate on refining the process through computer simulations and further experimental studies on the effect of other transition metals to induce deposition of conversion/protective films

  16. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  17. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  18. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  19. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  1. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  2. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  3. Impact of Reduced Graphene Oxide on MoS2 Grown by Sulfurization of Sputtered MoO3 and Mo Precursor Films (Postprint)

    Science.gov (United States)

    2016-05-26

    1,2 intercalation assisted exfoliation,8–11 physical vapor deposition (PVD),12,13 and a wet chemistry approach involving thermal decomposition of a... annealed MoO3, MoS2 films S1 (MoS2 using Mo precursor), S2 (MoS2 using MoO3 precursor), S1r (MoS2 using Mo pre- cursor and rGO), and S2r (MoS2 using...MoO3 precursor and rGO). The annealed MoO3 (a) shows Mo(IV) peaks which are indicative of MoO2, and Mo(VI) peaks that occur when MoO3 is present. Both

  4. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  5. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  6. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  7. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  8. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  9. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  10. Microstructure evolution in nanoporous gold thin films made from sputter-deposited precursors

    International Nuclear Information System (INIS)

    Gwak, Eun-Ji; Kang, Na-Ri; Baek, Un Bong; Lee, Hae Moo; Nahm, Seung Hoon; Kim, Ju-Young

    2013-01-01

    We fabricate almost crack-free 1.5 μm thick nanoporous gold thin films using free-corrosion dealloying and transfer processes from sputter-deposited precursors. By controlling the temperature and the concentration of the nitric acid solution during free-corrosion dealloying, we obtain ligament sizes in nanoporous gold between 22 and 155 nm. We investigate the effects of dissolution rate of Ag atoms, surface diffusivity of Au atoms and formation of Ag oxide on nanoporosity evolution

  11. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  12. Discussion on numerical simulation techniques for patterns of water vapor rise and droplet deposition at NPP cooling tower

    International Nuclear Information System (INIS)

    Guo Dongpeng; Yao Rentai

    2010-01-01

    Based on the working principle of cooling tower, analysis and comparison are made of both advantages and disadvantages of the numerical simulation models, such as ORFAD, KUMULUS, ISCST:A, ANL/UI, CFD etc., which predict the rise and droplet deposition pattern of cooling tower water vapor. The results showed that, CFD model is currently a better model that is used of three-dimensional Renault fluid flow equations predicting the rise and droplet deposition pattern of cooling tower water vapor. The impact of the line trajectory deviation and the speed change inn plume rising is not considered in any other models, and they can not be used for prediction of particle rise and droplet deposition when a larger particle or large buildings in the direction of cooling tower. (authors)

  13. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  14. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  15. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    Science.gov (United States)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  16. Thermodynamics of the production of condensed phases in the chemical vapor deposition of ZrC in the ZrCl{sub 4}–CH{sub 4}–H{sub 2}–Ar system

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Haiping [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi' an, Shaanxi 710072 (China); Deng, Juanli, E-mail: dengjl@chd.edu.cn [School of Materials Science and Engineering, Chang' an University, Xi' an, Shaanxi 710064 (China); Yang, Lianli [College of Chemistry and Chemical Engineering, Xianyang Teachers College, Xianyang, Shaanxi 712000 (China); Cheng, Laifei; Luo, Lei; Zhu, Yan [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi' an, Shaanxi 710072 (China); Su, Kehe [Key Laboratory of Space Applied Physics and Chemistry, Ministry of Education, School of Natural and Applied Sciences, Northwestern Polytechnical University, Xi' an, Shaanxi 710072 (China); Zhang, Litong [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi' an, Shaanxi 710072 (China)

    2014-05-02

    Production conditions of ZrC, Zr and C(graphite) condensed phases in the chemical vapor deposition process with ZrCl{sub 4}–CH{sub 4}–H{sub 2}–Ar precursor system have been investigated based on thermodynamic analyses using the FactSage code. The yields of condensed phases have been examined as functions of the injected reactant ratios of ZrCl{sub 4}/(ZrCl{sub 4} + CH{sub 4}), H{sub 2}/(ZrCl{sub 4} + CH{sub 4}) and Ar/(ZrCl{sub 4} + CH{sub 4}), the temperature and the pressure. The results show that the yields strongly depend on the molar ratios of the ZrCl{sub 4}/(ZrCl{sub 4} + CH{sub 4}) and H{sub 2}/(ZrCl{sub 4} + CH{sub 4}) injected reactant and on the temperature, but are insensitive to the inert gas Ar ratio and pressure. The co-deposition of ZrC with Zr or C(graphite) can be easily controlled by changing the ratios of ZrCl{sub 4}/CH{sub 4} and H{sub 2}/(ZrCl{sub 4} + CH{sub 4}). Process conditions such as high input amount of H{sub 2}, relatively low amount of Ar, low pressure and temperature above 1300 K are favorable for the deposition of ZrC. The results of this work will be helpful for further experimental investigation on different deposition conditions. - Highlights: • Control of the composition of deposits via adjustment of precursor ratios • Carbon enrichment can be avoided using a low amount of argon diluting gas. • The deposition process is significantly influenced by the presence of hydrogen.

  17. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  18. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  19. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  20. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  1. UV Laser Deposition of Nanostructured Si/C/O/N/H Precursor to Silicon Oxycarbonitride

    Czech Academy of Sciences Publication Activity Database

    Pola, Josef; Galíková, Anna; Bastl, Zdeněk; Šubrt, Jan; Vacek, Karel; Brus, Jiří; Ouchi, A.

    2006-01-01

    Roč. 20, č. 10 (2006), s. 648-655 ISSN 0268-2605 R&D Projects: GA MŠk(CZ) ME 684 Institutional research plan: CEZ:AV0Z40720504; CEZ:AV0Z40320502; CEZ:AV0Z40400503; CEZ:AV0Z40500505 Keywords : laser photolysis * silicon oxycarbonitride * chemical vapor deposition Subject RIV: CA - Inorganic Chemistry Impact factor: 1.233, year: 2006

  2. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  3. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  4. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  5. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  6. An effective approach to synthesize monolayer tungsten disulphide crystals using tungsten halide precursor

    International Nuclear Information System (INIS)

    Thangaraja, Amutha; Shinde, Sachin M.; Kalita, Golap; Tanemura, Masaki

    2016-01-01

    The synthesis of large-area monolayer tungsten disulphide (WS 2 ) single crystal is critical for realistic application in electronic and optical devices. Here, we demonstrate an effective approach to synthesize monolayer WS 2 crystals using tungsten hexachloride (WCl 6 ) as a solid precursor in atmospheric chemical vapor deposition process. In this technique, 0.05M solution of WCl 6 in ethanol was drop-casted on SiO 2 /Si substrate to create an even distribution of the precursor, which was reduced and sulfurized at 750 °C in Ar atmosphere. We observed growth of triangular, star-shaped, as well as dendritic WS 2 crystals on the substrate. The crystal geometry evolves with the shape and size of the nuclei as observed from the dendritic structures. These results show that controlling the initial nucleation and growth process, large WS 2 single crystalline monolayer can be grown using the WCl 6 precursor. Our finding shows an easier and effective approach to grow WS 2 monolayer using tungsten halide solution-casting, rather than evaporating the precursor for gas phase reaction

  7. Solution synthesis of mixed-metal chalcogenide nanoparticles and spray deposition of precursor films

    Science.gov (United States)

    Schulz, Douglas L.; Curtis, Calvin J.; Ginley, David S.

    2000-01-01

    A colloidal suspension comprising metal chalcogenide nanoparticles and a volatile capping agent. The colloidal suspension is made by reacting a metal salt with a chalcogenide salt in an organic solvent to precipitate a metal chalcogenide, recovering the metal chalcogenide, and admixing the metal chalcogenide with a volatile capping agent. The colloidal suspension is spray deposited onto a substrate to produce a semiconductor precursor film which is substantially free of impurities.

  8. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    Science.gov (United States)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  9. Self-Catalyzed Growth and Characterization of In(As)P Nanowires on InP(111)B Using Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Park, Jeung Hun; Pozuelo, Marta; Setiawan, Bunga P D; Chung, Choong-Heui

    2016-12-01

    We report the growth of vertical -oriented InAs x P1-x (0.11 ≤ x ≤ 0.27) nanowires via metal-organic chemical vapor deposition in the presence of indium droplets as catalysts on InP(111)B substrates at 375 °C. Trimethylindium, tertiarybutylphosphine, and tertiarybutylarsine are used as the precursors, corresponding to P/In and As/In molar ratios of 29 and 0.01, respectively. The as-grown nanowire growth morphologies, crystallinity, composition, and optical characteristics are determined using a combination of scanning and transmission electron microscopies, electron diffraction, and X-ray photoelectron, energy dispersive X-ray, and Raman spectroscopies. We find that the InAs x P1-x nanowires are tapered with narrow tops, wider bases, and In-rich In-As alloy tips, characteristic of vapor-liquid-solid process. The wires exhibit a mixture of zinc blende and wurtzite crystal structures and a high density of structural defects such as stacking faults and twins. Our results suggest that the incorporation of As into InP wires decreases with increasing substrate temperature. The Raman spectra obtained from the In(As)P nanowires reveal a red-shift and lower intensity of longitudinal optical mode relative to both InP nanowires and InP(111)B bulk, due to the incorporation of As into the InP matrix.

  10. Type of precursor and synthesis of silicon oxycarbide (SiOxCyH) thin films with a surfatron microwave oxygen/argon plasma

    International Nuclear Information System (INIS)

    Walkiewicz-Pietrzykowska, Agnieszka; Espinos, J. P.; Gonzalez-Elipe, Agustin R.

    2006-01-01

    Siliconelike thin films (i.e., SiO x C y H z ) were prepared in a microwave plasma enhanced chemical vapor deposition reactor from structurally different organosilicon precursors [i.e., hexamethyldisiloxane (HMDSO), dimethylsilane (DMS), and tetramethylsilane (TMS)]. The films were deposited at room temperature by using different oxygen/argon ratios in the plasma gas. By changing the type of precursor and the relative concentration of oxygen in the plasma, thin films with different compositions (i.e., O/C ratio) and properties are obtained. In general, raising the oxygen concentration in the plasma produces the progressive removal of the organic moieties from the films whose composition and structure then approach those of silicon dioxide. The deposition rate was highly dependent on the type of precursor, following the order HMDSO>>DMS>TMS. The polarizabilities, optical band gaps, and surface free energy of the films also depended on the thin film composition and structure. It is proposed that the Si-O bonds existing in HMDSO is the main factor controlling the distinct reactivity of this precursor and is also responsible for the different compositions and properties of the SiO x C y H z thin films prepared with very low or no oxygen in the plasma gas

  11. Fast electrochemical deposition of Ni(OH)2 precursor involving water electrolysis for fabrication of NiO thin films

    Science.gov (United States)

    Koyama, Miki; Ichimura, Masaya

    2018-05-01

    Ni(OH)2 precursor films were deposited by galvanostatic electrochemical deposition (ECD), and NiO thin films were fabricated by annealing in air. The effects of the deposition current densities were studied in a range that included current densities high enough to electrolyze water and generate hydrogen bubbles. The films fabricated by ECD involving water electrolysis had higher transparency and smoother surface morphology than those deposited with lower current densities. In addition, the annealed NiO films clearly had preferred (111) orientation when the deposition was accompanied by water electrolysis. p-type conduction was confirmed for the annealed films.

  12. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  13. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  14. Effect of structure and deposition technology on tribological properties of DLC coatings alloyed with VIA group metals

    Science.gov (United States)

    Khrushchov, M.; Levin, I.; Marchenko, E.; Avdyukhina, V.; Petrzhik, M.

    2016-07-01

    The results of a comprehensive research on atomic structure, phase composition, micromechanical and tribological characteristics of alloyed DLC coatings have been presented. The coatings have been deposited by reactive magnetron sputtering in acetylene-nitrogen gas mixtures of different compositions (a-C:H:Cr), by plasma-assisted chemical vapor deposition in atmospheres of silicone-organic precursor gases (a-C:H:Mo:Si), and by nonreactive magnetron sputtering of a composite target (a-C:H:W).

  15. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  16. Efficient photovoltaic conversion of graphene–carbon nanotube hybrid films grown from solid precursors

    International Nuclear Information System (INIS)

    Gan, Xin; Lv, Ruitao; Bai, Junfei; Zhang, Zexia; Wei, Jinquan; Huang, Zheng-Hong; Zhu, Hongwei; Kang, Feiyu; Terrones, Mauricio

    2015-01-01

    Large-area (e.g. centimeter size) graphene sheets are usually synthesized via pyrolysis of gaseous carbon precursors (e.g. methane) on metal substrates like Cu using chemical vapor deposition (CVD), but the presence of grain boundaries and the residual polymers during transfer deteriorates significantly the properties of the CVD graphene. If carbon nanotubes (CNTs) can be covalently bonded to graphene, the hybrid system could possess excellent electrical conductivity, transparency and mechanical strength. In this work, conducting and transparent CNT–graphene hybrid films were synthesized by a facile solid precursor pyrolysis method. Furthermore, the synthesized CNT–graphene hybrid films display enhanced photovoltaic conversion efficiency when compared to devices based on CNT membranes or graphene sheets. Upon chemical doping, the graphene–CNT/Si solar cells reveal power conversion efficiencies up to 8.50%. (paper)

  17. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  18. Low-temperature CVD of iron, cobalt, and nickel nitride thin films from bis[di(tert-butyl)amido]metal(II) precursors and ammonia

    International Nuclear Information System (INIS)

    Cloud, Andrew N.; Abelson, John R.; Davis, Luke M.; Girolami, Gregory S.

    2014-01-01

    Thin films of late transition metal nitrides (where the metal is iron, cobalt, or nickel) are grown by low-pressure metalorganic chemical vapor deposition from bis[di(tert-butyl)amido]metal(II) precursors and ammonia. These metal nitrides are known to have useful mechanical and magnetic properties, but there are few thin film growth techniques to produce them based on a single precursor family. The authors report the deposition of metal nitride thin films below 300 °C from three recently synthesized M[N(t-Bu) 2 ] 2 precursors, where M = Fe, Co, and Ni, with growth onset as low as room temperature. Metal-rich phases are obtained with constant nitrogen content from growth onset to 200 °C over a range of feedstock partial pressures. Carbon contamination in the films is minimal for iron and cobalt nitride, but similar to the nitrogen concentration for nickel nitride. X-ray photoelectron spectroscopy indicates that the incorporated nitrogen is present as metal nitride, even for films grown at the reaction onset temperature. Deposition rates of up to 18 nm/min are observed. The film morphologies, growth rates, and compositions are consistent with a gas-phase transamination reaction that produces precursor species with high sticking coefficients and low surface mobilities

  19. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  20. Preparation of Ti species coating hydrotalcite by chemical vapor deposition for photodegradation of azo dye.

    Science.gov (United States)

    Xiao, Gaofei; Zeng, HongYan; Xu, Sheng; Chen, ChaoRong; Zhao, Quan; Liu, XiaoJun

    2017-10-01

    TiO 2 in anatase crystal phase is a very effective catalyst in the photocatalytic oxidation of organic compounds in water. To improve its photocatalytic activity, the Ti-coating MgAl hydrotalcite (Ti-MgAl-LDH) was prepared by chemical vapor deposition (CVD) method. Response surface method (RSM) was employed to evaluate the effect of Ti species coating parameters on the photocatalytic activity, which was found to be affected by the furnace temperature, N 2 flow rate and influx time of precursor gas. Application of RSM successfully increased the photocatalytic efficiency of the Ti-MgAl-LDH in methylene blue photodegradation under UV irradiation, leading to improved economy of the process. According to the results from X-ray diffraction, scanning electron microscopy, Brunner-Emmet-Teller and Barrett-Joyner-Hallender, thermogravimetric and differential thermal analysis, UV-vis diffuse reflectance spectra analyses, the Ti species (TiO 2 or/and Ti 4+ ) were successfully coated on the MgAl-LDH matrix. The Ti species on the surface of the Ti-MgAl-LDH lead to a higher photocatalytic performance than commercial TiO 2 -P25. The results suggested that CVD method provided a new approach for the industrial preparation of Ti-coating MgAl-LDH material with good photocatalytic performances. Copyright © 2017. Published by Elsevier B.V.

  1. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  2. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  3. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  4. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  5. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  6. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  7. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  8. Nitrogen doping of chemical vapor deposition grown graphene on 4H-SiC (0001)

    Energy Technology Data Exchange (ETDEWEB)

    Urban, J. M.; Binder, J.; Wysmołek, A. [Faculty of Physics, University of Warsaw, ul. Hoża 69, 00-681 Warsaw (Poland); Dąbrowski, P.; Strupiński, W. [Institute of Electronic Materials Technology, ul. Wólczyńska 133, 01-919 Warsaw (Poland); Kopciuszyński, M.; Jałochowski, M. [Institute of Physics, Maria Curie-Skłodowska University, pl. M. Curie-Skłodowskiej 1, 20-031 Lublin (Poland); Klusek, Z. [Faculty of Physics and Applied Informatics, University of Łódź, ul. Pomorska 149/153, 90-236 Łódź (Poland); Baranowski, J. M. [Faculty of Physics, University of Warsaw, ul. Hoża 69, 00-681 Warsaw (Poland); Institute of Electronic Materials Technology, ul. Wólczyńska 133, 01-919 Warsaw (Poland)

    2014-06-21

    We present optical, electrical, and structural properties of nitrogen-doped graphene grown on the Si face of 4H-SiC (0001) by chemical vapor deposition method using propane as the carbon precursor and N{sub 2} as the nitrogen source. The incorporation of nitrogen in the carbon lattice was confirmed by X-ray photoelectron spectroscopy. Angle-resolved photoemission spectroscopy shows carrier behavior characteristic for massless Dirac fermions and confirms the presence of a graphene monolayer in the investigated nitrogen-doped samples. The structural and electronic properties of the material were investigated by Raman spectroscopy. A systematical analysis of the graphene Raman spectra, including D, G, and 2D bands, was performed. In the case of nitrogen-doped samples, an electron concentration on the order of 5–10 × 10{sup 12} cm{sup −2} was estimated based upon Raman and Hall effect measurements and no clear dependence of the carrier concentration on nitrogen concentration used during growth was observed. This high electron concentration can be interpreted as both due to the presence of nitrogen in graphitic-like positions of the graphene lattice as well as to the interaction with the substrate. A greater intensity of the Raman D band and increased inhomogeneity, as well as decreased electron mobility, observed for nitrogen-doped samples, indicate the formation of defects and a modification of the growth process induced by nitrogen doping.

  9. Efeito do vapor d'água na síntese pelo método do precursor polimérico da alumina contendo aditivos Effect of water vapor on the synthesis by the polymeric precursor method of alumina doped powders

    Directory of Open Access Journals (Sweden)

    R. H. R. Castro

    2005-12-01

    Full Text Available A presença de H2O nos processos de síntese e transformação de fase da alumina tem usualmente função catalisadora, diminuindo as temperaturas dos processos e facilitando os possíveis rearranjos atômicos. Neste trabalho mostrou-se que o vapor de H2O durante a síntese pode não apenas acelerar os processos diminuindo as energias de ativação, mas também induzir diferentes formas de ação de aditivos. O estudo foi possível utilizando-se o método dos precursores poliméricos para a síntese dos pós, que permite um controle absoluto do teor de H2O na etapa de cristalização do material. Alumina contendo Mn ou Mg como aditivos foram sintetizadas na ausência de H2O e na presença de excesso controlado desta e fases diferentes para cada situação foram observadas. Uma explicação do fenômeno é descrita em função da dependência da cristalização da gama-Al2O3 na presença de H+ para compensação das vacâncias catiônicas.It is commonly accepted that the presence of H2O during the synthesis and phase transformation of alumina causes decreasing temperatures of these processes since it increases the atomic motilities. In addition to this general concept, in this work H2O vapor is also shown to play an important role on the influence of additives in alumina based systems. Alumina powders containing Mn or Mg were synthesized by the polymeric precursor method under H2O vapor or dry atmosphere during the crystallization stage. Each atmosphere promoted a different phase and an explanation for the phenomenon was given bases on the gamma-Al2O3 crystallization dependence on the H+ presence due to the required cationic vacancies compensations.

  10. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  11. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  12. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  13. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  14. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Application of the Taguchi analytical method for optimization of effective parameters of the chemical vapor deposition process controlling the production of nanotubes/nanobeads.

    Science.gov (United States)

    Sharon, Maheshwar; Apte, P R; Purandare, S C; Zacharia, Renju

    2005-02-01

    Seven variable parameters of the chemical vapor deposition system have been optimized with the help of the Taguchi analytical method for getting a desired product, e.g., carbon nanotubes or carbon nanobeads. It is observed that almost all selected parameters influence the growth of carbon nanotubes. However, among them, the nature of precursor (racemic, R or Technical grade camphor) and the carrier gas (hydrogen, argon and mixture of argon/hydrogen) seem to be more important parameters affecting the growth of carbon nanotubes. Whereas, for the growth of nanobeads, out of seven parameters, only two, i.e., catalyst (powder of iron, cobalt, and nickel) and temperature (1023 K, 1123 K, and 1273 K), are the most influential parameters. Systematic defects or islands on the substrate surface enhance nucleation of novel carbon materials. Quantitative contributions of process parameters as well as optimum factor levels are obtained by performing analysis of variance (ANOVA) and analysis of mean (ANOM), respectively.

  16. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  17. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  18. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    Science.gov (United States)

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  19. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  20. An effective approach to synthesize monolayer tungsten disulphide crystals using tungsten halide precursor

    Energy Technology Data Exchange (ETDEWEB)

    Thangaraja, Amutha; Shinde, Sachin M.; Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp; Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2016-02-01

    The synthesis of large-area monolayer tungsten disulphide (WS{sub 2}) single crystal is critical for realistic application in electronic and optical devices. Here, we demonstrate an effective approach to synthesize monolayer WS{sub 2} crystals using tungsten hexachloride (WCl{sub 6}) as a solid precursor in atmospheric chemical vapor deposition process. In this technique, 0.05M solution of WCl{sub 6} in ethanol was drop-casted on SiO{sub 2}/Si substrate to create an even distribution of the precursor, which was reduced and sulfurized at 750 °C in Ar atmosphere. We observed growth of triangular, star-shaped, as well as dendritic WS{sub 2} crystals on the substrate. The crystal geometry evolves with the shape and size of the nuclei as observed from the dendritic structures. These results show that controlling the initial nucleation and growth process, large WS{sub 2} single crystalline monolayer can be grown using the WCl{sub 6} precursor. Our finding shows an easier and effective approach to grow WS{sub 2} monolayer using tungsten halide solution-casting, rather than evaporating the precursor for gas phase reaction.

  1. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  2. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  3. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  4. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  5. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  6. Chemical vapor deposition growth of carbon nanotubes on Si substrates using Fe catalyst: What happens at the nanotube/Fe/Si interface

    International Nuclear Information System (INIS)

    Chakraborty, Amit K.; Jacobs, J.; Anderson, C.; Roberts, C. J.; Hunt, Michael R. C.

    2006-01-01

    Direct growth of carbon nanotubes (CNTs) on silicon is of great importance for their potential exploitation in the semiconductor industry. In this article we investigate the chemical vapor deposition growth of CNTs on Si substrates from ethylene precursor using an iron catalyst. We observe that CNTs are produced only at temperatures between 830 and 980 deg. C, and within this narrow temperature window CNT yield initially increases with temperature to reach a maximum around 900 deg. C and then declines. While the requirement of a minimum temperature to initiate CNT growth can be understood by considering the minimum energy necessary to activate the catalyst particles, characterization of the as-grown CNTs by atomic force microscopy and x-ray photoelectron spectroscopy reveals that a loss of catalyst is responsible for the observed decline in CNT yield above 900 deg. C. However, unlike some previous reports suggesting surface silicide formation as the mechanism for catalyst loss, we find that either subsurface diffusion or evaporation is the mechanism for the loss of catalyst material in the current study

  7. Tungsten oxide coatings deposited by plasma spray using powder and solution precursor for detection of nitrogen dioxide gas

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chao, E-mail: zhangc@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); Wang, Jie [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); Geng, Xin [College of Mechanical Engineering, Yangzhou University, Yangzhou 225127 (China); College of Chemistry and Chemical Engineering, Yangzhou University, Yangzhou 225002 (China)

    2016-05-25

    Increasing attention has been paid on preparation methods for resistive-type gas sensors based on semiconductor metal oxides. In this work, tungsten oxide (WO{sub 3}) coatings were prepared on alumina substrates and used as gas sensitive layers. The coatings were deposited by atmospheric plasma spray using powder, solution precursor, or a combination of both. Tungsten oxide powder through a powder port and ammonium tungstate aqueous solution through a liquid port were injected into plasma stream respectively or together to deposit WO{sub 3} coatings. Phase structures in the coatings were characterized by X-ray diffraction analyzer. The field-emission scanning electron microscopy images confirmed that the coatings were in microstructure, nanostructure or micro-nanostructure. The sensing properties of the sensors based on the coatings exposed to 1 ppm nitrogen dioxide gas were characterized in a home-made instrument. Sensing properties of the coatings were compared and discussed. The influences of gas humidity and working temperature on the sensor responses were further studied. - Highlights: • Porous gas sensitive coatings were deposited by plasma spray using powder and solution precursor. • Crystallized WO{sub 3} were obtained through hybrid plasma spray plus a pre-conditioned step. • Plasma power had an important influence on coating microstructure. • The particle size of atmospheric plasma-sprayed microstructured coating was stable. • Solution precursor plasma-sprayed WO{sub 3} coatings had nanostructure and showed good responses to 1 ppm NO{sub 2}.

  8. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  9. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  10. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  11. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  12. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  13. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  14. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  15. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances

    International Nuclear Information System (INIS)

    Fiorentino, Giuseppe; Vollebregt, Sten; Ishihara, Ryoichi; Sarro, Pasqualina M; Tichelaar, F D

    2015-01-01

    A study on the impact of atomic layer deposition (ALD) precursors diffusion on the performance of solid-state miniaturized nanostructure capacitor array is presented. Three-dimensional nanostructured capacitor array based on double conformal coating of multiwalled carbon nanotubes (MWCNTs) bundles is realized using ALD to deposit Al 2 O 3 as dielectric layer and TiN as high aspect-ratio conformal counter-electrode on 2 μm long MWCNT bundles. The devices have a small footprint (from 100 μm 2 to 2500 μm 2 ) and are realized using an IC wafer-scale manufacturing process with high reproducibility (≤0.3E-12F deviation). To evaluate the enhancement of the electrode surface, the measured capacitance values are compared to a lumped circuital model. The observed discrepancies are explained with a partial coating of the CNT, that determine a limited use of the available electrode surface area. To analyze the CNT coating effectiveness, the ALD precursors diffusions inside the CNT bundle is studied using a Knudsen diffusion mechanism. (paper)

  16. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances

    Science.gov (United States)

    Fiorentino, Giuseppe; Vollebregt, Sten; Tichelaar, F. D.; Ishihara, Ryoichi; Sarro, Pasqualina M.

    2015-02-01

    A study on the impact of atomic layer deposition (ALD) precursors diffusion on the performance of solid-state miniaturized nanostructure capacitor array is presented. Three-dimensional nanostructured capacitor array based on double conformal coating of multiwalled carbon nanotubes (MWCNTs) bundles is realized using ALD to deposit Al2O3 as dielectric layer and TiN as high aspect-ratio conformal counter-electrode on 2 μm long MWCNT bundles. The devices have a small footprint (from 100 μm2 to 2500 μm2) and are realized using an IC wafer-scale manufacturing process with high reproducibility (≤0.3E-12F deviation). To evaluate the enhancement of the electrode surface, the measured capacitance values are compared to a lumped circuital model. The observed discrepancies are explained with a partial coating of the CNT, that determine a limited use of the available electrode surface area. To analyze the CNT coating effectiveness, the ALD precursors diffusions inside the CNT bundle is studied using a Knudsen diffusion mechanism.

  17. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  18. Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass

    International Nuclear Information System (INIS)

    Makino, Hisao; Miyake, Aki; Yamada, Takahiro; Yamamoto, Naoki; Yamamoto, Tetsuya

    2009-01-01

    Influence of substrate temperature and Zn-precursors on growth rate, crystal structure, and electrical property of undoped ZnO thin films grown by atomic layer deposition (ALD) have been studied. Differences between dimethylzinc (DMeZn) and diethylzinc (DEtZn) used as Zn-precursors were examined. The ZnO films grown using DMeZn showed higher electrical resistivity compared to that grown using DEtZn. However, the higher resistivity in the case of DMeZn was owing to much amount of residual impurities incorporated during the ALD growth

  19. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  20. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    Science.gov (United States)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  1. Properties of NiO thin films deposited by chemical spray pyrolysis using different precursor solutions

    Energy Technology Data Exchange (ETDEWEB)

    Cattin, L. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Reguig, B.A.; Khelil, A. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Morsli, M. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Benchouk, K. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France)], E-mail: Jean-Christian.Bernede@univ-nantes.fr

    2008-07-15

    NiO thin films have been deposited by chemical spray pyrolysis using a perfume atomizer to grow the aerosol. The influence of the precursor, nickel chloride hexahydrate (NiCl{sub 2}.6H{sub 2}O), nickel nitrate hexahydrate (Ni(NO{sub 3}){sub 2}.6H{sub 2}O), nickel hydroxide hexahydrate (Ni(OH){sub 2}.6H{sub 2}O), nickel sulfate tetrahydrate (NiSO{sub 4}.4H{sub 2}O), on the thin films properties has been studied. In the experimental conditions used (substrate temperature 350 deg. C, precursor concentration 0.2-0.3 M, etc.), pure NiO thin films crystallized in the cubic phase can be achieved only with NiCl{sub 2} and Ni(NO{sub 3}){sub 2} precursors. These films have been post-annealed at 425 deg. C for 3 h either in room atmosphere or under vacuum. If all the films are p-type, it is shown that the NiO films conductivity and optical transmittance depend on annealing process. The properties of the NiO thin films annealed under room atmosphere are not significantly modified, which is attributed to the fact that the temperature and the environment of this annealing is not very different from the experimental conditions during spray deposition. The annealing under vacuum is more efficient. This annealing being proceeded in a vacuum no better than 10{sup -2} Pa, it is supposed that the modifications of the NiO thin film properties, mainly the conductivity and optical transmission, are related to some interaction between residual oxygen and the films.

  2. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  3. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  4. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  5. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  6. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  7. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    Science.gov (United States)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  8. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  9. Synthesis and optical properties of BCxNy films deposited from N-triethylborazine and hydrogen mixture

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Rumyantsev, Yurii M.; Kesler, Valerii G.; Kosinova, Marina L.

    2015-01-01

    BC x N y films were obtained by plasma enhanced chemical vapor deposition method using a single-source precursor N-triethylborazine and hydrogen as plasma activating gas. The effect of synthesis temperature on the chemical composition and properties of the BC x N y films was investigated. The BC x N y films were examined by scanning electron microscopy, Fourier transform infrared and Raman spectroscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and ellipsometry and spectrophotometry techniques. These experimental results indicated that the BC x N y films produced at low temperatures (T dep ≤ 673 K) are the polymer-like hydrogenated films with high transparency up to 93% in the visible part of the spectrum. BC x N y films produced at high temperatures (> 673 K) contain additional phase of disordered carbon which has dramatically reduce transparency. The band gap of the films varied from 0.6 to 4.5 eV, with variation in deposition temperature. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-triethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • Optical band gap of the BC x N y layers varied from 0.6 to 4.5 eV

  10. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  11. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  12. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  13. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  14. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  15. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  16. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  17. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  18. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  19. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  20. The Structural Evolution of (Gd, Y)Ba2Cu3Ox Tapes With Zr Addition Made by Metal Organic Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lei, CH; Galstyan, E; Chen, YM; Shi, T; Liu, YH; Khatri, N; Liu, JF; Xiong, XM; Majkic, G; Selvamanickam, V

    2013-06-01

    Structural analysis of (Gd, Y) Ba2Cu3Ox tapes with Zr addition made by metal organic chemical vapor deposition has been conducted with transmission electron microscopy and X-ray diffraction. Zr content in the films was varied from 0 to 25% in the precursor. In all Zr-doped films, self-assembled nanocolumnar structures of BaZrO3 (BZO) were observed along the c-axis. The amount of BaZrO3 was found to increase steadily with Zr content. Additionally, planar BZO plates were found on the (001) plane of (Gd, Y) Ba2Cu3Ox film. The size and thickness of BZO plates were seen to increase with Zr doping level. Rare-earth copper oxide phases were observed to begin to emerge in the 20% Zr-doped film. Cross-sectional study of the interface between (Gd, Y)Ba2Cu3Ox and LaMnO3 cap layer revealed a thin discrete BZO layer on the LaMnO3 in the 20% Zr doped film.

  1. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Synthesis of silicon containing materials using liquid hydrosilane compositions through direct injection

    Energy Technology Data Exchange (ETDEWEB)

    Srinivasan, Guruvenket; Sailer, Robert A.; Hoey, Justin

    2018-03-13

    An apparatus and a non-vapor-pressure dependent method of chemical vapor deposition of Si based materials using direct injection of liquid hydrosilane(s) are presented. Liquid silane precursor solutions may also include metal, non-metal or metalloid dopants, nanomaterials and solvents. An illustrative apparatus has a precursor solution and carrier gas system, atomizer and deposit head with interior chamber and a hot plate supporting the substrate. Atomized liquid silane precursor solutions and carrier gas moves through a confined reaction zone that may be heated and the aerosol and vapor are deposited on a substrate to form a thin film. The substrate may be heated prior to deposition. The deposited film may be processed further with thermal or laser processing.

  3. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  4. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  5. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  6. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  7. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  8. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  9. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  10. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  11. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  12. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  13. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    Science.gov (United States)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  14. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  15. Numerical evaluation of ABS parts fabricated by fused deposition modeling and vapor smoothing

    Directory of Open Access Journals (Sweden)

    Sung-Uk Zhang

    2017-12-01

    Full Text Available The automotive industry has focused to use polymer materials in order to increase energy efficiency. So, the industry pays attention to use 3D printing technologies using several polymers. Among several 3D printer technologies, fused deposition modeling (FDM is one of the popular 3D printing technologies due to an inexpensive extrusion machine and multi-material printing. FDM could use thermoplastics such as ABS, PLA, ULTEM so on. However, it has a problem related to the post-processing because FDM has relatively poor layer resolution. In this study, the mechanical properties of ABS parts fabricated by FDM were measured. The ABS parts were divided into one with vapor smoothing process and the other without the vapor smoothing process which is one of the post-processing methods. Using dynamic mechanical analysis (DMA and dilatometer, temperature-dependent storage modulus and CTE for ABS specimens were measured. Based on the measured thermo-mechanical properties of ABS parts, finite element analysis was performed for an automotive bumper made of ABS. Moreover, response surface methodology was applied to study relationships among design parameters of thickness of the bumper, ambient temperature, and application of the vapor smoothing process. In result, a design guideline for a ABS product could be provided without time-consuming experiments

  16. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  18. Comparative study of ZnSe thin films deposited from modified chemical bath solutions with ammonia-containing and ammonia-free precursors

    International Nuclear Information System (INIS)

    Chen Liangyan; Zhang Daoli; Zhai Guangmei; Zhang Jianbing

    2010-01-01

    Ammonia is one of the complexing agents which are the most commonly used in the precursors of ZnSe thin films by chemical bath deposition, but its high volatility may be harmful to human beings and environments. In our experiments, ZnSe films were obtained from modified chemical solutions with ammonia-containing and ammonia-free precursors. X-ray diffraction, field-emission scanning electron microscope (FSEM), and absorption spectrum were applied to investigate the microstructure, morphology and optical properties of the samples obtained from both growth conditions, which were investigated in this work. The ammonia-free chemical bath deposited ZnSe films showed comparable properties with the ammonia-containing ones, indicating that ZnSe films from ammonia-free chemical solution may be preferred buffer layer in thin film solar cells with less environmental contamination.

  19. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  20. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  1. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  2. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  3. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  4. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  5. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  6. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  7. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  8. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  9. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  10. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  11. Atomic layer deposition of VO{sub 2} films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xinrui [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); Yan, Lu; Li, Ying; Song, Lixin [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-02-28

    Highlights: • VO{sub 2} film was easily deposited by ALD using novel vanadium precursor V(NMe{sub 2}){sub 4}. • Deposition and annealing condition were systematically investigated. • Comparable transition properties of VO{sub 2} film on resistance and spectral transmittance were studied. - Abstract: VO{sub 2} thin films have been grown on Si(100) (VO{sub 2}/Si) and fused silica substrates (VO{sub 2}/SiO{sub 2}) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO{sub 2} thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150–200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO{sub 2} films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO{sub 2}/Si film. AFM was applied to study the surface morphology of VO{sub 2}/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO{sub 2}/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (T{sub c,h}) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of T{sub c,h} compared with the bulk VO{sub 2} (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO{sub 2}/SiO{sub 2} films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across

  12. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    Science.gov (United States)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  13. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  14. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  15. Plasma deposition of antimicrobial coating on organic polymer

    Science.gov (United States)

    Rżanek-Boroch, Zenobia; Dziadczyk, Paulina; Czajkowska, Danuta; Krawczyk, Krzysztof; Fabianowski, Wojciech

    2013-02-01

    Organic materials used for packing food products prevent the access of microorganisms or gases, like oxygen or water vapor. To prolong the stability of products, preservatives such as sulfur dioxide, sulfites, benzoates, nitrites and many other chemical compounds are used. To eliminate or limit the amount of preservatives added to food, so-called active packaging is sought for, which would limit the development of microorganisms. Such packaging can be achieved, among others, by plasma modification of a material to deposit on its surface substances inhibiting the growth of bacteria. In this work plasma modification was carried out in barrier discharge under atmospheric pressure. Sulfur dioxide or/and sodium oxide were used as the coating precursors. As a result of bacteriological studies it was found that sulfur containing coatings show a 16% inhibition of Salmonella bacteria growth and 8% inhibition of Staphylococcus aureus bacteria growth. Sodium containing coatings show worse (by 10%) inhibiting properties. Moreover, films with plasma deposited coatings show good sealing properties against water vapor. Contribution to the Topical Issue "13th International Symposium on High Pressure Low Temperature Plasma Chemistry (Hakone XIII)", Edited by Nicolas Gherardi, Henryca Danuta Stryczewska and Yvan Ségui.

  16. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  18. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  19. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  20. Nanodiamonds with silicon vacancy defects for nontoxic photostable fluorescent labeling of neural precursor cells.

    Science.gov (United States)

    Merson, Tobias D; Castelletto, Stefania; Aharonovich, Igor; Turbic, Alisa; Kilpatrick, Trevor J; Turnley, Ann M

    2013-10-15

    Nanodiamonds (NDs) containing silicon vacancy (SiV) defects were evaluated as a potential biomarker for the labeling and fluorescent imaging of neural precursor cells (NPCs). SiV-containing NDs were synthesized using chemical vapor deposition and silicon ion implantation. Spectrally, SiV-containing NDs exhibited extremely stable fluorescence and narrow bandwidth emission with an excellent signal to noise ratio exceeding that of NDs containing nitrogen-vacancy centers. NPCs labeled with NDs exhibited normal cell viability and proliferative properties consistent with biocompatibility. We conclude that SiV-containing NDs are a promising biomedical research tool for cellular labeling and optical imaging in stem cell research.

  1. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  2. Study of CNT growth using nanocatalyst Ag precursor by HWC-VHF-PECVD

    International Nuclear Information System (INIS)

    Eliyana, Ajeng; Rosikin, Ahmad; Winata, Toto

    2015-01-01

    The study of CNT growth has been done by using silver (Ag) nanocatalyst as a guide precursor on corning glass 7059 substrate. The silver catalyst was prepared by the evaporation method by varying deposition time for 50, 25, and 14 seconds. The silver films were then annealed at temperature of 400°C for 4 hours. From Scanning Electron Microscope (SEM) and Energy Dispersive X-ray Spectroscopy (EDX) results the grain sizes are 65 nm, 57 nm, and 33 nm, and also the atomic compositions are 6,06%, 4,52%, and 3,73% for 14, 25 and 50 seconds samples, respectively. The 33 nm samples were then used for CNT growth by using Hot Wire Cell (HWC) – Very High Frequency (VHF) – Plasma Enhanced Chemical Vapor Deposition (PECVD) at 275 ° C deposition temperature and pressure of 300 mTorr. The rf power was varied from 8 to 20 watts, with deposition time for 60 minutes. The methane (CH4) 99.999% was used as Carbon sources. Hydrogen gas (H2) was used to etch the oxide layer formed during the pre-deposition process. The diameter and length for the CNT are 125 nm and 1.650 to 2.989 nm respectively

  3. Study of CNT growth using nanocatalyst Ag precursor by HWC-VHF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Eliyana, Ajeng; Rosikin, Ahmad; Winata, Toto, E-mail: toto@fi.itb.ac.id [Physics of Electronics Material Research Division, Physics Programme, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Jl. Ganesha 10, Bandung 40132, West Java (Indonesia)

    2015-04-16

    The study of CNT growth has been done by using silver (Ag) nanocatalyst as a guide precursor on corning glass 7059 substrate. The silver catalyst was prepared by the evaporation method by varying deposition time for 50, 25, and 14 seconds. The silver films were then annealed at temperature of 400°C for 4 hours. From Scanning Electron Microscope (SEM) and Energy Dispersive X-ray Spectroscopy (EDX) results the grain sizes are 65 nm, 57 nm, and 33 nm, and also the atomic compositions are 6,06%, 4,52%, and 3,73% for 14, 25 and 50 seconds samples, respectively. The 33 nm samples were then used for CNT growth by using Hot Wire Cell (HWC) – Very High Frequency (VHF) – Plasma Enhanced Chemical Vapor Deposition (PECVD) at 275 ° C deposition temperature and pressure of 300 mTorr. The rf power was varied from 8 to 20 watts, with deposition time for 60 minutes. The methane (CH4) 99.999% was used as Carbon sources. Hydrogen gas (H2) was used to etch the oxide layer formed during the pre-deposition process. The diameter and length for the CNT are 125 nm and 1.650 to 2.989 nm respectively.

  4. High throughput semiconductor deposition system

    Science.gov (United States)

    Young, David L.; Ptak, Aaron Joseph; Kuech, Thomas F.; Schulte, Kevin; Simon, John D.

    2017-11-21

    A reactor for growing or depositing semiconductor films or devices. The reactor may be designed for inline production of III-V materials grown by hydride vapor phase epitaxy (HVPE). The operating principles of the HVPE reactor can be used to provide a completely or partially inline reactor for many different materials. An exemplary design of the reactor is shown in the attached drawings. In some instances, all or many of the pieces of the reactor formed of quartz, such as welded quartz tubing, while other reactors are made from metal with appropriate corrosion resistant coatings such as quartz or other materials, e.g., corrosion resistant material, or stainless steel tubing or pipes may be used with a corrosion resistant material useful with HVPE-type reactants and gases. Using HVPE in the reactor allows use of lower-cost precursors at higher deposition rates such as in the range of 1 to 5 .mu.m/minute.

  5. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  6. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  7. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  8. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  9. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  10. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  11. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  12. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  13. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  14. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  15. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  16. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  17. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  18. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  19. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  20. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  1. In-Situ Patterning: Selective Area Deposition and Etching. Materials Research Society Symposium Proceedings. Volume 158

    Science.gov (United States)

    1990-11-21

    microelectronics fabriL ...on. Chemical vapor deposition of metals using carbonyls as film precursors has been studied carefully in recent years. For...temperature range that can be studied. For example, it would be difficult to pinpoint a deviation from the Arrhenius law at high temperature as being the...pressure range, the increase of I as a function of p seems to follow a logarithmic law . As a matter of fact, by changing the pressure by two orders of

  2. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  3. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  4. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  5. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  6. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  7. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  8. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  9. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  10. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    Science.gov (United States)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  11. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  12. Facile Deposition of Ultrafine Silver Particles on Silicon Surface Not Submerged in Precursor Solutions for Applications in Antireflective Layer

    Directory of Open Access Journals (Sweden)

    Bing Jiang

    2014-01-01

    Full Text Available Using a facile deposition method, the ultrafine silver particles are successfully deposited on the Si surface that is not submerged in precursor solutions. The ultrafine silver particles have many advantages, such as quasiround shape, uniformity in size, monodisperse distribution, and reduction of agglomeration. The internal physical procedure in the deposition is also investigated. The results show that there are more particles on the rough Si surface due to the wetting effect of solid-liquid interface. The higher concentration of ethanol solvent can induce the increase of quantity and size of particles on Si surface not in solutions. The ultrafine particles can be used to prepare porous Si antireflective layer in solar cell applications.

  13. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  14. Novel precursors for the deposition of rare earth oxides

    International Nuclear Information System (INIS)

    Ahlers, Mareike

    2010-01-01

    During this work rare earth solvates with nitrate and perchlorate anions have been investigated. All compounds have been structurally characterized and analyzed using thermal gravimetric analysis. The decomposition residues were analyzed using powder diffraction methods. Almost all compounds showed a characteristically intense exothermic decomposition step during the thermal decomposition, most likely caused by an intramolecular redox reaction between the nitrate or perchlorate anion respectively and the organic solvent molecules. The nitrates RE(NO 3 ) 3 (CH(OCH 3 ) 3 ) 2 (RE = Sm, Eu) were isolated and characterized for the first time as the intermediate of the dehydration reaction with trimethyl orthoformate. The known compound group of dimethoxyethane solvates was then expanded with RE(NO 3 ) 3 (O 2 C 4 H 10 ) (RE = La, Sm, Eu). Considering the possible use as precursor material the already described neodymium compound is also discussed. The thermal decomposition of these compounds yields the respective cubic rare earth oxide and shows the typical intense exothermic decomposition reaction. A variety of different precursor system based on nitrate solvates for the deposition of rare earth oxide layers on a silicon surface was developed and investigated in collaboration with the group of Prof. Dr. Al-Shamery (Univ. Oldenburg). Ultra thin films on a H-Si(111) surface were obtained via the deposition of the precursor, which was dissolved in organic solvents. An oxide layer was detected after the heating of the sample. The film thickness was measured as < 10 nm, whereas the thickness of the film was controlled by the concentration of the precursor solution. Sm(ClO 4 ) 3 (CH(OCH 3 ) 3 ) 3 was isolated and characterized for the first time as the intermediate of the dehydration reaction with trimethyl orthoformate. Eu(ClO 4 ) 3 (CH(OCH 3 ) 3 ) 2 (MeOH) 2 was obtained without recrystallization. The methanol molecules, formed during the hydrolysis of the trimethyl

  15. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  16. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  17. Control of microstructure in soldered, brazed, welded, plated, cast or vapor deposited manufactured components

    Science.gov (United States)

    Ripley, Edward B.; Hallman, Russell L.

    2015-11-10

    Disclosed are methods and systems for controlling of the microstructures of a soldered, brazed, welded, plated, cast, or vapor deposited manufactured component. The systems typically use relatively weak magnetic fields of either constant or varying flux to affect material properties within a manufactured component, typically without modifying the alloy, or changing the chemical composition of materials or altering the time, temperature, or transformation parameters of a manufacturing process. Such systems and processes may be used with components consisting of only materials that are conventionally characterized as be uninfluenced by magnetic forces.

  18. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  19. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  20. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  1. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  2. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  3. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  4. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  5. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  6. Investigation of thin film deposition on stainless steel 304 substrates under different operating conditions

    International Nuclear Information System (INIS)

    Chowdhury, M A; Nuruzzaman, D M

    2016-01-01

    In recent times, friction and wear in relation to the deposited carbon films on the steel substrates are important issues for industrial applications. In this research study, solid thin films were deposited on the stainless steel 304 (SS 304) substrates under different operating conditions. In the experiments, natural gas (97.14% methane) was used as a precursor gas in a hot filament thermal chemical vapor deposition (CVD) reactor. Deposition rates on SS 304 substrates were investigated under gas flow rates 0.5 - 3.0 l/min, pressure 20 - 50 torr, gap between activation heater and substrate 3.0 - 6.0 mm and deposition duration 30 - 120 minutes. The obtained results show that there are significant effects of these parameters on the deposition rates on SS 304 within the observed range. Friction coefficient of SS 304 sliding against SS 314 was also investigated under normal loads 5 - 10 N and sliding velocities 0.5 - m/s before and after deposition. The experimental results reveal that in general, frictional values are lower after deposition than that of before deposition. (paper)

  7. Hydroxyapatite coatings deposited by liquid precursor plasma spraying: controlled dense and porous microstructures and osteoblastic cell responses

    International Nuclear Information System (INIS)

    Huang Yi; Song Lei; Liu Xiaoguang; Xiao Yanfeng; Wu Yao; Chen Jiyong; Wu Fang; Gu Zhongwei

    2010-01-01

    Hydroxyapatite coatings were deposited on Ti-6Al-4V substrates by a novel plasma spraying process, the liquid precursor plasma spraying (LPPS) process. X-ray diffraction results showed that the coatings obtained by the LPPS process were mainly composed of hydroxyapatite. The LPPS process also showed excellent control on the coating microstructure, and both nearly fully dense and highly porous hydroxyapatite coatings were obtained by simply adjusting the solid content of the hydroxyapatite liquid precursor. Scanning electron microscope observations indicated that the porous hydroxyapatite coatings had pore size in the range of 10-200 μm and an average porosity of 48.26 ± 0.10%. The osteoblastic cell responses to the dense and porous hydroxyapatite coatings were evaluated with human osteoblastic cell MG-63, in respect of the cell morphology, proliferation and differentiation, with the hydroxyapatite coatings deposited by the atmospheric plasma spraying (APS) process as control. The cell experiment results indicated that the heat-treated LPPS coatings with a porous structure showed the best cell proliferation and differentiation among all the hydroxyapatite coatings. Our results suggest that the LPPS process is a promising plasma spraying technique for fabricating hydroxyapatite coatings with a controllable microstructure, which has great potential in bone repair and replacement applications.

  8. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  9. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  10. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  11. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  13. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  14. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  15. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  16. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  17. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  18. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    International Nuclear Information System (INIS)

    Li Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-01-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al 2 O 3 , ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al 2 O 3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  19. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  20. Effect of PECVD deposition parameters on structural and optoelectronics properties of hydrogenated polymorphous silicon thin films deposited by dichlorosilane for implementation in solar cells

    International Nuclear Information System (INIS)

    Álvarez-Macías, C.; Hernández González, Oscar Daniel; Barrera Calva, Enrique; Gómez González, L.; Santana, G.

    2015-01-01

    Hydrogenated polymorphous silicon (pm-Si: H) thin films were deposited at room temperature by plasma enhanced chemical vapor deposition (PECVD) using SiH2Cl2 as precursor gas. We examine the effect of deposition pressure (250 y 500 mTorr) and H2 dilution (flow rates 25, 50, 75 y 100 sccm) on the structural and optoelectronics properties. The nano-structural properties was confirmed by Raman spectroscopy studies in terms of the changes in crystallite sizes and their volume fractions. On the other hand, by FTIR analysis we notice bond configurations associated to photostability of the nanostructures, which was confirmed by Light soaking experiments during 250h. We found a tunable band gap and important behaviors on the electronic transport properties measurements for samples with high and low incorporation of oxygen whose compositions were determined by XPS measurements. Understanding structural and chemical properties of pm- Si: H thin films is key towards optimizing their electrical and optical properties for applications in solar cells. (full text)