WorldWideScience

Sample records for vapor deposition film

  1. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  2. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  3. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  4. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  5. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  6. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  7. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  8. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  9. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  10. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  11. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  12. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  13. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  14. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  15. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  16. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  17. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  18. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  19. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  20. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  1. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  2. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  3. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  4. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  5. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  6. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  7. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  8. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  9. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  10. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  11. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  12. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  13. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  14. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  15. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  16. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  17. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  18. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  19. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  20. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  1. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  2. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  3. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  4. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  5. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  6. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  8. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  9. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  10. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  11. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  12. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  13. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    International Nuclear Information System (INIS)

    Li Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-01-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al 2 O 3 , ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al 2 O 3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  14. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  15. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  16. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    Science.gov (United States)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  17. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  18. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  19. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  20. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  1. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  2. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  3. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  4. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  5. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  6. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  7. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  8. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  9. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  10. Water Vapor Permeation of Metal Oxide/Polymer Coated Plastic Films

    Science.gov (United States)

    Numata, Yukihiro; Oya, Toshiyuki; Kuwahara, Mitsuru; Ito, Katsuya

    Barrier performance to water vapor permeation of ceramic coated layers deposited on flexible polymer films is of great interest to food packaging, medical device packaging and flat panel display industries. In this study, a new type film in which a ceramic layer is deposited on a polymer coated film was proposed for lower water vapor permeation. It is important how to control interfacial properties between each layer and film for good barrier performance. Several kinds of polymer coated materials were prepared for changing surface free energy of the films before and after depositing the ceramic layer. The ceramic layer, which is composed of mixed material of SiO2 and Al2O3, was adopted under the same conditions. The following results were obtained; 1) Water vapor permeation is not related to the surface energy of polymer coated films, 2) After depositing the ceramic layer, however, a strong correlation is observed between the water vapor permeation and surface free energy. 3) The phenomenon is considered that the polarity of the polymer layers plays a key role in changing the structure of ceramic coated layers.

  11. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  12. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  13. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  14. Physical vapor deposited films of a perylene derivative: supramolecular arrangement and thermal stability

    Energy Technology Data Exchange (ETDEWEB)

    Fernandes, Jose Diego; Alessio, Priscila; Silva, Matheus Rodrigues Medeiros; Aroca, Ricardo Flavio; Souza, Agda Eunice de; Constantino, Carlos Jose Leopoldo, E-mail: case@fct.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Presidente Prudente, SP (Brazil). Dept. de Fisica

    2017-07-15

    The analysis of supramolecular arrangement is essential to understand the role of this key factor on the optical and electrical properties of organic thin films. In this work, thin solid films of bis(phenethylimido) perylene (PhPTCD) fabricated using physical vapor deposition (PVD) technique (thermal evaporation), deposited simultaneously onto different substrates (Ag mirror, Ge, and quartz plates) contingent on the characterization technique. The main objective is to study the PhPTCD supramolecular arrangement and the thermal stability of this arrangement in PVD films. The ultraviolet-visible absorption reveals a controlled growth of the PVD films, and the micro-Raman scattering data show that the PhPTCD molecule is not thermally degraded in the conditions of these experiments. The microscopy also shows a homogeneous morphological surface of the PVD film at macro and micro scales, with molecular aggregates at nanoscale. Besides, the PVD film roughness does not follow substrate roughness. The X-ray diffraction indicates a crystalline structure for PhPTCD powder and an amorphous form for PhPTCD PVD film. The infrared absorption spectroscopy points to a preferential flat-on organization of the molecules in the PVD films. In addition, the annealing process (200 deg C for 20 minutes) does not affect the supramolecular arrangement of the PhPTCD PVD films. (author)

  15. Physical vapor deposited films of a perylene derivative: supramolecular arrangement and thermal stability

    International Nuclear Information System (INIS)

    Fernandes, Jose Diego; Alessio, Priscila; Silva, Matheus Rodrigues Medeiros; Aroca, Ricardo Flavio; Souza, Agda Eunice de; Constantino, Carlos Jose Leopoldo

    2017-01-01

    The analysis of supramolecular arrangement is essential to understand the role of this key factor on the optical and electrical properties of organic thin films. In this work, thin solid films of bis(phenethylimido) perylene (PhPTCD) fabricated using physical vapor deposition (PVD) technique (thermal evaporation), deposited simultaneously onto different substrates (Ag mirror, Ge, and quartz plates) contingent on the characterization technique. The main objective is to study the PhPTCD supramolecular arrangement and the thermal stability of this arrangement in PVD films. The ultraviolet-visible absorption reveals a controlled growth of the PVD films, and the micro-Raman scattering data show that the PhPTCD molecule is not thermally degraded in the conditions of these experiments. The microscopy also shows a homogeneous morphological surface of the PVD film at macro and micro scales, with molecular aggregates at nanoscale. Besides, the PVD film roughness does not follow substrate roughness. The X-ray diffraction indicates a crystalline structure for PhPTCD powder and an amorphous form for PhPTCD PVD film. The infrared absorption spectroscopy points to a preferential flat-on organization of the molecules in the PVD films. In addition, the annealing process (200 deg C for 20 minutes) does not affect the supramolecular arrangement of the PhPTCD PVD films. (author)

  16. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  17. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  18. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  19. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  20. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  1. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  2. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  3. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  4. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    Science.gov (United States)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  5. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  6. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  7. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  8. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  9. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  10. CuOX thin films by direct oxidation of Cu films deposited by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    D. Santos-Cruz

    Full Text Available Thin films of Cu2O and CuO oxides were developed by direct oxidation of physical vapor deposited copper films in an open atmosphere by varying the temperature in the range between 250 and 400 °C. In this work, the influence of oxidation temperature on structural, optical and electrical properties of copper oxide films has been discussed. The characterization results revealed that at lower temperatures (<300 °C, it is feasible to obtained coper (I oxide whereas at temperatures higher than 300 °C, the copper (II oxide is formed. The band gap is found to vary in between 1.54 and 2.21 eV depending on the oxidation temperature. Both oxides present p-type electrical conductivity. The carrier concentration has been increased as a function of the oxidation temperature from 1.61 × 1012 at 250 °C to 6.8 × 1012 cm−3 at 400 °C. The mobility has attained its maximum of 34.5 cm2 V−1 s−1 at a temperature of 300 °C, and a minimum of 13.8 cm2 V−1 s−1 for 400 °C. Finally, the resistivity of copper oxide films decreases as a function of oxidation temperature from 5.4 × 106 to 2.4 × 105 Ω-cm at 250 and 400 °C, respectively. Keywords: PVD, Oxidizing annealed treatment, Non-toxic material

  11. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  12. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  13. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  14. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  15. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  16. Evaporation temperature-tuned physical vapor deposition growth engineering of one-dimensional non-Fermi liquid tetrathiofulvalene tetracyanoquinodimethane thin films

    DEFF Research Database (Denmark)

    Sarkar, I.; Laux, M.; Demokritova, J.

    2010-01-01

    We describe the growth of high quality tetrathiofulvalene tetracyanoquinodimethane (TTF-TCNQ) organic charge-transfer thin films which show a clear non-Fermi liquid behavior. Temperature dependent angle resolved photoemission spectroscopy and electronic structure calculations show that the growth...... of TTF-TCNQ films is accompanied by the unfavorable presence of neutral TTF and TCNQ molecules. The quality of the films can be controlled by tuning the evaporation temperature of the precursor in physical vapor deposition method....

  17. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  18. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  19. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  20. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  1. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  2. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  3. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  4. Nanoscale leakage current measurements in metal organic chemical vapor deposition crystalline SrTiO3 films

    International Nuclear Information System (INIS)

    Rozier, Y.; Gautier, B.; Hyvert, G.; Descamps, A.; Plossu, C.; Dubourdieu, C.; Ducroquet, F.

    2009-01-01

    The properties of SrTiO 3 thin films, grown by liquid injection metal organic chemical vapor deposition on Si/SiO 2 , using a mixture of precursors, have been investigated at the nanoscale using an Atomic Force Microscope in the so-called Conductive Atomic Force Microscopy mode. Maps of the leakage currents with a nanometric resolution have been obtained on films elaborated at different temperatures and stoichiometries in order to discriminate the role of each parameter on the onset of leakage currents in the resulting layers. It appears that the higher the deposition temperature, the higher the leakage currents of the films. The mapping with a nanometric precision allows to show a heterogeneous behaviour of the surface with leaky grains and insulating boundaries. The study of films elaborated at the same temperature with different compositions supports the assumption that the leakage currents on Ti-rich layers are far higher than on Sr-rich layers

  5. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    Science.gov (United States)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  6. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  7. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  8. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  9. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  10. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  11. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  12. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  13. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  14. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  15. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  16. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  17. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  18. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  19. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  20. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  1. Physical vapor deposited thin films of lignins extracted from sugar cane bagasse: morphology, electrical properties, and sensing applications.

    Science.gov (United States)

    Volpati, Diogo; Machado, Aislan D; Olivati, Clarissa A; Alves, Neri; Curvelo, Antonio A S; Pasquini, Daniel; Constantino, Carlos J L

    2011-09-12

    The concern related to the environmental degradation and to the exhaustion of natural resources has induced the research on biodegradable materials obtained from renewable sources, which involves fundamental properties and general application. In this context, we have fabricated thin films of lignins, which were extracted from sugar cane bagasse via modified organosolv process using ethanol as organic solvent. The films were made using the vacuum thermal evaporation technique (PVD, physical vapor deposition) grown up to 120 nm. The main objective was to explore basic properties such as electrical and surface morphology and the sensing performance of these lignins as transducers. The PVD film growth was monitored via ultraviolet-visible (UV-vis) absorption spectroscopy and quartz crystal microbalance, revealing a linear relationship between absorbance and film thickness. The 120 nm lignin PVD film morphology presented small aggregates spread all over the film surface on the nanometer scale (atomic force microscopy, AFM) and homogeneous on the micrometer scale (optical microscopy). The PVD films were deposited onto Au interdigitated electrode (IDE) for both electrical characterization and sensing experiments. In the case of electrical characterization, current versus voltage (I vs V) dc measurements were carried out for the Au IDE coated with 120 nm lignin PVD film, leading to a conductivity of 3.6 × 10(-10) S/m. Using impedance spectroscopy, also for the Au IDE coated with the 120 nm lignin PVD film, dielectric constant of 8.0, tan δ of 3.9 × 10(-3), and conductivity of 1.75 × 10(-9) S/m were calculated at 1 kHz. As a proof-of-principle, the application of these lignins as transducers in sensing devices was monitored by both impedance spectroscopy (capacitance vs frequency) and I versus time dc measurements toward aniline vapor (saturated atmosphere). The electrical responses showed that the sensing units are sensible to aniline vapor with the process being

  2. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    Science.gov (United States)

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  3. Characterizations of arsenic-doped zinc oxide films produced by atmospheric metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Weng, Li-Wei, E-mail: onlyway54@hotmail.com [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming; Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Wu, Chih-Hung; Hong, Hwe-Fen; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Shen, Chin-Chang [Chemical Engineering Division, Institute of Nuclear Energy Research, Longtan Township, Taoyuan 32546, Taiwan (China)

    2013-07-15

    p-type ZnO films were prepared by atmospheric metal-organic chemical vapor deposition technique using arsine (AsH{sub 3}) as the doping source. The electrical and optical properties of arsenic-doped ZnO (ZnO:As) films fabricated at 450–600 °C with various AsH{sub 3} flow rates ranging from 8 to 21.34 μmol/min were analyzed and compared. Hall measurements indicate that stable p-type ZnO films with hole concentrations varying from 7.2 × 10{sup 15} to 5.8 × 10{sup 18} cm{sup −3} could be obtained. Besides, low temperature (17 K) photoluminescence spectra of all ZnO:As films also demonstrate the dominance of the line related to the neutral acceptor-bound exciton. Moreover, the elemental identity and chemical bonding information for ZnO:As films were examined by X-ray photoelectron spectroscopy. Based on the results obtained, the effects of doping conditions on the mechanism responsible for the p-type conduction were studied. Conclusively, a simple technique to fabricate good-quality p-type ZnO films has been recognized in this work. Depositing the film at 550 °C with an AsH{sub 3} flow rate of 13.72 μmol/min is appropriate for producing hole concentrations on the order of 10{sup 17} cm{sup −3} for it. Ultimately, by increasing the AsH{sub 3} flow rate to 21.34 μmol/min for doping and depositing the film at 600 °C, ZnO:As films with a hole concentration over 5 × 10{sup 18} cm{sup −3} together with a mobility of 1.93 cm{sup 2}V{sup −1} s{sup −1} and a resistivity of 0.494 ohm-cm can be achieved.

  4. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  5. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  6. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  7. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  8. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  9. Chemical vapor deposition of ZrO{sub 2} thin films using Zr(NEt{sub 2}){sub 4} as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Bastianini, A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Battiston, G.A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Gerbasi, R. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Porchia, M. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Daolio, S. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati]|[CNR, Ist. di Polarografia ed Elettrochimica Preparativa, Padova (Italy)

    1995-06-01

    By using tetrakis(diethylamido) zirconium [Zr(NEt{sub 2}){sub 4}], excellent quality ZrO{sub 2} thin films were deposited with high growth rates on alumina and glass substrates by chemical vapor deposition. The depositions were carried out in a hot wall reactor at reduced pressure (200 Pa) in the temperature range 500-580 C and in the presence of oxygen. The as-grown films are colourless, smooth and well-adherent to the substrates. SIMS analysis evidenced pure ZrO{sub 2} with a slight superficial contamination of hydrocarbons and nitrogen. The films have a tapered polycrystalline columnar structure well visible in SEM micrographs. From X-ray diffraction analysis, the monoclinic phase resulted as the major phase together with a small variable amount of tetragonal zirconia. Under 550 C the as-grown films resulted highly textured and were dominated by the (020) orientation. The films were annealed in the range 600-1000 C and the effect of annealing on the texture and on the phase and dimensions of the crystallites have been studied. (orig.).

  10. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  11. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  12. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  13. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  14. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  15. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  16. Characterization of Pb(Zr, Ti)O sub 3 thin films prepared by metal-organic chemical-vapor deposition using a solid delivery system

    CERN Document Server

    Shin, J C; Hwang, C S; Kim, H J; Lee, J M

    1999-01-01

    Pb(Zr, Ti)O sub 3 (PZT) thin films were deposited on Pt/SiO sub 2 /Si substrates by metal-organic chemical-vapor deposition technique using a solid delivery system to improve the reproducibility of the deposition. The self-regulation mechanism, controlling the Pb-content of the film, was observed to work above a substrate temperature of 620 .deg. C. Even with the self-regulation mechanism, PZT films having low leakage current were obtained only when the molar mixing ratio of the input precursors was 1

  17. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  18. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  19. Effect of thermal history on the structure of chemically and vapor deposited silver films on glass

    International Nuclear Information System (INIS)

    Shelby, J.E.; Nichols, M.C.; Smith, D.K. Jr.; Vitko, J. Jr.

    1981-01-01

    The observation of silver agglomeration in second surface mirrors used for solar applications has emphasized consideration of the effect of thermal history on the optical properties of mirrors. Thermal history effects may arise from the processing of mirrors, the application of protective coatings, or from outdoor exposure. Mirrors may be subject to elevated temperatures (T less than or equal to 400 0 C) for short periods of time, or to low temperatures (T less than or equal to 60 0 C) for long (less than or equal to 30 years) periods of time. Although a significant amount of work has been done on thermally driven agglomeration of silver films, most of these studies have been restricted to vapor deposited films on vitreous silica. Large area reflectors, such as those used in heliostats, will almost certainly be deposited by commercial chemical methods on substrates of soda-lime-silicate or other glasses which differ considerably from vitreous silica in composition and properties. The present study addresses the effect of this change in deposition technique and substrate on silver agglomeration. These problems were studied by optical and scanning electron microscopy, reflectometry, and x-ray diffraction. The results indicate that both the method used to deposit the silver and the type of glass affect the agglomeration process and the character of the reflective film

  20. Non-enzymatic hydrogen peroxide detection at NiO nanoporous thin film- electrodes prepared by physical vapor deposition at oblique angles

    International Nuclear Information System (INIS)

    Salazar, Pedro; Rico, Victor; González-Elipe, Agustín R.

    2017-01-01

    Highlights: • A non-enzymatic sensor for H 2 O 2 detection based on nickel thin film is reported. • Nanostructured nickel thin films are prepared by physical vapor deposition at oblique angles. • Main analytical parameters were obtained under optimal operation conditions. • Sensors depict an outstanding selectivity and a high stability. • Sensors are successfully used to determine H 2 O 2 in antiseptic solutions. - Abstract: In this work we report a non-enzymatic sensor for hydrogen peroxide (H 2 O 2 ) detection based on nanostructured nickel thin films prepared by physical vapor deposition at oblique angles. Porous thin films deposited on ITO substrates were characterized by X-ray diffraction analysis, scanning electron microcopy (SEMs), X-ray photoelectron spectroscopy (XPS) and electrochemical techniques such as Cyclic Voltammetry (CV) and Constant Potential Amperometry (CPA). The microstructure of the thin films consisted of inclined and separated Ni nanocolumns forming a porous thin layer of about 500 nm thickness. Prior to their use, the films surface was electrochemically modified and the chemical state studied by CV and XPS analysis. These techniques also showed that Ni 2+ /Ni 3+ species were involved in the electrochemical oxidation and detection of H 2 O 2 in alkaline medium. Main analytical parameters such as sensitivity (807 mA M −1 cm −2 ), limit of detection (3.22 μM) and linear range (0.011–2.4 mM) were obtained under optimal operation conditions. Sensors depicted an outstanding selectivity and a high stability and they were successfully used to determine H 2 O 2 concentration in commercial antiseptic solutions.

  1. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  2. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  3. Tribological properties of nitrogen-containing amorphous carbon film produced by dc plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang Wei; Wazumi, Koichiro; Tanaka, Akihiro; Koga, Yoshinori

    2003-01-01

    The nitrogen-contained amorphous carbon (a-C:N) films were deposited in a dc plasma chemical vapor deposition system with different substrate bias voltages. The structural, mechanical, and tribological properties of the a-C:N films were investigated. The influence of the bias voltage on the tribological behaviors of the a-C:N films was evaluated under various environments (dry air, O 2 , N 2 , and vacuum) using a ball-on-disk friction tester. It showed that the sp 3 C and hydrogen concentration of the a-C:N films decreases with increasing the bias voltage. However, the nitrogen concentration increases with increasing the bias voltage. As a result, the hardness and internal stress decrease and the critical load for fracturing increases as the substrate bias increases. For the tribological properties of the a-C:N films, the friction coefficient of the films slightly decreases in the environments of N 2 , O 2 , or dry air, but increases slightly in the vacuum environment by increasing the bias voltage. It indicates that the incorporated nitrogen in the a-C:N films would decrease the friction coefficient of the films in N 2 or O 2 environments, but slightly increases the friction coefficient of the films in a vacuum. The excellent wear resistance of the a-C:N films, in the level of 10 -9 -10 -8 mm 3 /Nm, can be observed in N 2 , vacuum, and dry air environments. In addition, the effect of the bias voltage on the wear rate of the a-C:N films becomes less obvious by nitrogen incorporation. So, we suggest the incorporated nitrogen, which bonded to carbon and restrained the increase of the fraction of sp 2 C-C, would restrain the wear of the a-C:N films in different environments, especially in dry air

  4. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  5. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  6. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  7. Semiconducting Properties of Nanostructured Amorphous Carbon Thin Films Incorporated with Iodine by Thermal Chemical Vapor Deposition

    Science.gov (United States)

    Kamaruzaman, Dayana; Ahmad, Nurfadzilah; Annuar, Ishak; Rusop, Mohamad

    2013-11-01

    Nanostructured iodine-post doped amorphous carbon (a-C:I) thin films were prepared from camphor oil using a thermal chemical vapor deposition (TCVD) technique at different doping temperatures. The structural properties of the films were studied by field-emission scanning electron microscopy (FESEM), energy-dispersive spectroscopy (EDS), Raman, and Fourier transform infrared (FTIR) studies. FESEM and EDS studies showed successful iodine doping. FTIR and Raman studies showed that the a-C:I thin films consisted of a mixture of sp2- and sp3-bonded carbon atoms. The optical and electrical properties of a-C:I thin films were determined by UV-vis-NIR spectroscopy and current-voltage (I-V) measurement respectively. The optical band gap of a-C thin films decreased upon iodine doping. The highest electrical conductivity was found at 400 °C doping. Heterojunctions are confirmed by rectifying the I-V characteristics of an a-C:I/n-Si junction.

  8. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  9. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  10. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  11. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  12. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Linjie; Wang, Lixin [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Qin, Xiujuan, E-mail: qinxj@ysu.edu.cn [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Cui, Li [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Shao, Guangjie [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao 066004 (China)

    2016-04-30

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  13. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Linjie; Wang, Lixin; Qin, Xiujuan; Cui, Li; Shao, Guangjie

    2016-01-01

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  14. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    Science.gov (United States)

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  15. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  16. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  17. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  18. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  19. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  20. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    Science.gov (United States)

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  1. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  2. Reduced thermal budget processing of Y-Ba-Cu-O films by rapid isothermal processing assisted metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high-temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y-Ba-Cu-O (YBCO) on yttrium stabilized zirconia substrates by RIP assisted MOCVD. Using O 2 gas as the source of oxygen, YBCO films deposited initially at 600 degree C for 1 min and at 745 degree C for 25 min followed by deposition at 780 degree C for 45 s are primarily c-axis oriented and zero resistance is observed at 89--90 K. The zero magnetic field current density at 53 and 77 K are 1.2x10 6 and 3x10 5 A/cm 2 , respectively. By using a mixture of N 2 O and O 2 as the oxygen source substrate temperature was further reduced in the deposition of YBCO films. The films deposited initially at 600 degree C for 1 min and than at 720 degree C for 30 min are c-axis oriented and with zero resistance being observed at 91 K. The zero magnetic field current densities at 53 and 77 K are 3.4x10 6 and 1.2x10 6 A/cm 2 , respectively. To the best of our knowledge this is the highest value of critical current density, J c for films deposited by MOCVD at a substrate temperature as low as 720 degree C. It is envisioned that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  3. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  4. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  5. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  6. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  7. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  8. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  9. Reduced thermal budget processing of Y--Ba--Cu--O high temperature superconducting thin films by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y--Ba--Cu--O (YBCO) on MgO and SrTiO 3 substrates by RIP assisted MOCVD. By using a mixture of N 2 O and O 2 as the oxygen source films deposited initially at 600 degree C for 1 min and then at 740 degree C for 30 min are primarily c-axis oriented and with zero resistance being observed at 84 and 89 K for MgO and SrTiO 3 substrates, respectively. The zero magnetic field current densities at 77 K for MgO and SrTiO 3 substrates are 1.2x10 6 and 1.5x10 6 A/cm 2 , respectively. It is envisaged that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  10. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  11. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  12. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  13. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  14. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  15. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  16. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  20. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  1. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  2. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  3. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  4. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  5. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  6. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  7. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  8. Thin film solar cells grown by organic vapor phase deposition

    Science.gov (United States)

    Yang, Fan

    Organic solar cells have the potential to provide low-cost photovoltaic devices as a clean and renewable energy resource. In this thesis, we focus on understanding the energy conversion process in organic solar cells, and improving the power conversion efficiencies via controlled growth of organic nanostructures. First, we explain the unique optical and electrical properties of organic materials used for photovoltaics, and the excitonic energy conversion process in donor-acceptor heterojunction solar cells that place several limiting factors of their power conversion efficiency. Then, strategies for improving exciton diffusion and carrier collection are analyzed using dynamical Monte Carlo models for several nanostructure morphologies. Organic vapor phase deposition is used for controlling materials crystallization and film morphology. We improve the exciton diffusion efficiency while maintaining good carrier conduction in a bulk heterojunction solar cell. Further efficiency improvement is obtained in a novel nanocrystalline network structure with a thick absorbing layer, leading to the demonstration of an organic solar cell with 4.6% efficiency. In addition, solar cells using simultaneously active heterojunctions with broad spectral response are presented. We also analyze the efficiency limits of single and multiple junction organic solar cells, and discuss the challenges facing their practical implementations.

  9. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  10. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  11. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  12. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  13. Electrical conductivity and oxygen exchange kinetics of La2NiO4+delta thin films grown by chemical vapor deposition

    DEFF Research Database (Denmark)

    Garcia, G.; Burriel, M.; Bonanos, Nikolaos

    2008-01-01

    Epitaxial c-axis oriented La2NiO4+delta films were deposited onto SrTiO3 and NdGaO3 substrates by the pulsed injection metal organic chemical vapor deposition technique. Experimental conditions were optimized in order to accurately control the composition, thickness, and texture of the layers. X......-ray diffraction was used to confirm the high crystalline quality of the obtained material. Electrical characterizations were performed on thin (50 nm) and thick (335 nm) layers. The total specific conductivity, which is predominantly electronic, was found to be larger for the thinner films measured (50 nm......), probably due to the effect of the strain present in the layers. Those thin films (50 nm) showed values even larger than those observed for single crystals and, to our knowledge, are the largest conductivity values reported to date for the La2NiO4+delta material. The oxygen exchange kinetics was studied...

  14. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  15. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  16. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  17. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  18. Boron-doped zinc oxide thin films for large-area solar cells grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chen, X.L.; Xu, B.H.; Xue, J.M.; Zhao, Y.; Wei, C.C.; Sun, J.; Wang, Y.; Zhang, X.D.; Geng, X.H.

    2007-01-01

    Boron-doped zinc oxide (ZnO:B) films were grown by metal organic chemical vapor deposition using diethylzinc (DEZn), and H 2 O as reactant gases and diborane (B 2 H 6 ) as an n-type dopant gas. The structural, electrical and optical properties of ZnO films doped at different B 2 H 6 flow rates were investigated. X-ray diffraction spectra and scanning electron microscopy images indicate that boron-doping plays an important role on the microstructure of ZnO films, which induced textured morphology. With optimized conditions, low sheet resistance (∼ 30 Ω/□), high transparency (> 85% in the visible light and infrared range) and high mobility (17.8 cm 2 V -1 s -1 ) were obtained for 700-nm ZnO:B films deposited on 20 cm x 20 cm glass substrates at the temperature of 443 K. After long-term exposure in air, the ZnO:B films also showed a better electrical stability than the un-doped samples. With the application of ZnO:B/Al back contacts, the short circuit current density was effectively enhanced by about 3 mA/cm 2 for a small area a-Si:H cell and a high efficiency of 9.1% was obtained for a large-area (20 cm x 20 cm) a-Si solar module

  19. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  20. Characteristics of SiOx-containing hard film prepared by low temperature plasma enhanced chemical vapor deposition using hexamethyldisilazane or vinyltrimethylsilane and post oxygen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Yi-Syuan; Liu, Wan-Yu; Wu, Hsin-Ming [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Chen, Ko-Shao, E-mail: kschen@ttu.edu.tw [Department of Materials Engineering, Tatung University, Taipei, 104, Taiwan (China); Cech, Vladimir [Institute of Materials Chemistry, Brno University of Technology (Czech Republic)

    2017-03-01

    This study, monomers of hexamethyldisilazane (HMDSZ) and vinyltrimethylsilane (VTMS) were respectively used to deposit on the surface of polyethylene terephthalate (PET) substrate by plasma enhanced chemical vapor deposition. Oxygen plasma treatment follows the HMDSZ and VTMS deposition to produce a hydrophilic surface film on the deposited surface. Time for HMDSZ and VTMS plasma deposition was changed to investigate its influences on water contact angle, deposited film thickness, refractive index, and friction coefficient properties. The surface morphologies of the processed samples were observed by scanning electron microscope and their chemical compositions were measured by X-ray photoelectron spectroscopy. At 550 nm wavelength, the optical transmittance of PET after the HMDSZ treatment decreases from 89% to 83%, but increases from 89% to 95% for the VTMS treatment. With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. Result revealed by XPS, SiO{sub 2} film is formed on the sample surface after the O{sub 2} plasma treatment. The film adhesion capability by the HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment was stronger than that by the HMDSZ and VTMS treatment only. The SiOx films produced by HMDSZ+O{sub 2} and VTMS+O{sub 2} treatment can increase the film hardness and improve light transmittance. - Highlights: • With increase in HMDSZ and VTMS deposition times, the film thickness increases and the refractive index decreases. • The optical transmittance of PET after the VTMS treatment increases from 89% to 95%. • The SiO{sub 2} films deposited by HMDSZ+O{sub 2} and VTMS+O{sub 2} plasma can increase the film hardness and improve light transmittance. • It is expected that they can be applied to the optical transmittance protective film on plastic substrate in the future.

  1. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  2. The growth of nanoscale ZnO films by pulsed-spray evaporation chemical vapor deposition and their structural, electric and optical properties

    International Nuclear Information System (INIS)

    Jiang Yinzhu; Bahlawane, Naoufal

    2010-01-01

    Great interest in nanoscale thin films (sub-100 nm) has been stimulated by the developing demands of functional devices. In this paper, nanoscale zinc oxide (ZnO) thin films were deposited on glass substrates at 300 o C by pulsed-spray evaporation chemical vapor deposition. Scanning electron micrographs indicate uniform surface morphologies composed of nanometer-sized spherical particles. The growth kinetics and growth mode are studied and the relationship between the film thickness and the electric properties with respect to the growth mode is interpreted. X-ray diffraction shows that all ZnO films grown by this process were crystallized in a hexagonal structure and highly oriented with their c-axes perpendicular to the plane of the substrate. Optical measurements show transparencies above 85% in the visible spectral range for all films. The absorbance in the UV spectral range respects well the Beer-Lambert law, enabling an accurate optical thickness measurement, and the absorption coefficient was measured for a selected wavelength. The measured band gap energies exhibit an almost constant value of 3.41 eV for all films with different thicknesses, which attributed to the thickness-independent crystallite size.

  3. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  4. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  5. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  6. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  7. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  8. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  9. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    International Nuclear Information System (INIS)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa

    2017-01-01

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi_3Fe_5O_1_2, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi_3Fe_5O_1_2 was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi_3Fe_5O_1_2. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe"3"+ ions.

  10. Iron selenide films by aerosol assisted chemical vapor deposition from single source organometallic precursor in the presence of surfactants

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Raja Azadar [Department of Chemistry, Quaid-i-Azam University, 45320 Islamabad (Pakistan); Badshah, Amin, E-mail: aminbadshah@yahoo.com [Department of Chemistry, Quaid-i-Azam University, 45320 Islamabad (Pakistan); Younis, Adnan [School of Materials Science and Engineering, University of New South Wales, Sydney 2052, NSW (Australia); Khan, Malik Dilshad [Department of Chemistry, Quaid-i-Azam University, 45320 Islamabad (Pakistan); Akhtar, Javeed [Department of Physics, COMSATS Institute of Information Technology, Park Road, Chak Shahzad, Islamabad (Pakistan)

    2014-09-30

    This article presents the synthesis and characterization (multinuclear nuclear magnetic resonance, Fourier transform infrared spectroscopy, carbon–hydrogen–nitrogen–sulfur analyzer, atomic absorption spectrometry and thermogravimetric analysis) of a single source organometallic precursor namely 1-acetyl-3-(4-ferrocenylphenyl)selenourea for the fabrication of iron selenide (FeSe) films on glass substrates using aerosol assisted chemical vapor deposition (AACVD). The changes in the morphologies of the films have been monitored by the use of two different surfactants i.e. triton X-100 and tetraoctylphosphonium bromide during AACVD. The role of surfactant has been evaluated by examining the interaction of the surfactants with the precursor by using UV–vis spectroscopy and cyclic voltammetry. The fabricated FeSe films have been characterized with powder X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. - Highlights: • Ferrocene incorporated selenourea (FIS) has been synthesized and characterized. • FeSe thin films have been fabricated from FIS. • Mechanism of film growth was studied with cyclic voltammetry and UV–vis spectroscopy.

  11. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  12. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  13. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  14. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  15. The dependence of the texture of tellurium thin films on vacuum deposition angle

    International Nuclear Information System (INIS)

    Cocks, F.H.; Peterson, M.J.; Jones, P.L.

    1980-01-01

    Vacuum-deposited tellurium thin films can show substantially different surface morphologies depending on the angle with which the vapor stream impinges on the substrate surface. These tellurium thin films have a tendency to grow as acicular crystallites but as the deposition angle is increased so that the vapor stream becomes tangential to the substrate surface the spacing between crystallites increases and approaches, at stream angles of approximately 80 0 from the normal, dimensions roughly once or twice the average wavelength of visible light. Such films may have application in solar energy collector systems because of the high absorptivity of sunlight shown by such films. Mechanisms which describe the tendency for crystallite spacing to increase with increasing angle are discussed. (Auth.)

  16. Chemical vapor deposition and electric characterization of perovskite oxides LaMO3 (M=Co, Fe, Cr and Mn) thin films

    International Nuclear Information System (INIS)

    Ngamou, Patrick Herve Tchoua; Bahlawane, Naoufal

    2009-01-01

    Oxides with a perovskite structure are important functional materials often used for the development of modern devices. In view of extending their applicability, it is necessary to efficiently control their growth as thin films using technologically relevant synthesis methods. Pulsed spray evaporation CVD was used to grow several perovskite-type oxides on planar silicon substrates at temperatures ranging from 500 to 700 deg. C. The optimization of the process control parameters allows the attainment of the perovskite structure as a single phase. The electrical characterization using the temperature-dependent conductivity and thermopower indicates the p-type conduction of the grown films and shows a decreasing concentration of the charge carrier, mobility and band gap energy in the sequence LaCoO 3 >LaMnO 3 >LaCrO 3 >LaFeO 3 . The investigation of the electric properties of the obtained perovskite thin films shows the versatility of CVD as a method for the development of innovative devices. - Graphical abstract: We report a single step deposition of perovskite thin films LaMO 3 (M: Co, Mn, Cr, Fe) using pulsed spray evaporation chemical vapor deposition. Electrical and thermopower properties, similar to these of bulk materials, could promote the development of modern thermoelectric devices based on thin films technology.

  17. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  18. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  20. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  1. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  2. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  3. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  4. Vapor phase reactions in polymerization plasma for divinylsiloxane-bis-benzocyclobutene film deposition

    International Nuclear Information System (INIS)

    Kinoshita, Keizo; Nakano, Akinori; Kawahara, Jun; Kunimi, Nobutaka; Hayashi, Yoshihiro; Kiso, Osamu; Saito, Naoaki; Nakamura, Keiji; Kikkawa, Takamaro

    2006-01-01

    Vapor phase reactions in plasma polymerization of divinylsiloxane-bis-benzocyclobutene (DVS-BCB) low-k film depositions on 300 mm wafers were studied using mass spectrometry, in situ Fourier transform infrared, and a surface wave probe. Polymerization via Diels-Alder cycloaddition reaction was identified by the detection of the benzocyclohexene group. Hydrogen addition and methyl group desorption were also detected in DVS-BCB monomer and related large molecules. The dielectric constant k of plasma polymerized DVS-BCB with a plasma source power range up to 250 W was close to ∼2.7 of thermally polymerized DVS-BCB, and increased gradually over 250 W. The electron density at 250 W was about 1.5x10 10 cm -3 . The increase of the k value at higher power was explained by the decrease of both large molecular species via multistep dissociation and incorporation of silica components into the polymer. It was found that the reduction of electron density as well as precursor residence time is important for the plasma polymerization process to prevent the excess dissociation of the precursor

  5. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  6. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  7. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa, E-mail: tanaka@dipole7.kuic.kyoto-u.ac.jp

    2017-01-15

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi{sub 3}Fe{sub 5}O{sub 12}, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi{sub 3}Fe{sub 5}O{sub 12} was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi{sub 3}Fe{sub 5}O{sub 12}. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe{sup 3+} ions.

  8. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  9. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  10. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  11. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  12. The large-area CdTe thin film for CdS/CdTe solar cell prepared by physical vapor deposition in medium pressure

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Run; Liu, Bo; Yang, Xiaoyan; Bao, Zheng; Li, Bing, E-mail: libing70@126.com; Zhang, Jingquan; Li, Wei; Wu, Lili; Feng, Lianghuan

    2016-01-01

    Graphical abstract: - Highlights: • The large-area CdTe film has been prepared by PVD under the pressure of 0.9 kPa. • The as-prepared CdTe thin film processes excellent photovoltaic properties. • This technique is suitable for depositing large-area CdTe thin film. • The 14.6% champion efficiency CdS/CdTe cell has been achieved. - Abstract: The Cadmium telluride (CdTe) thin film has been prepared by physical vapor deposition (PVD), the Ar + O{sub 2} pressure is about 0.9 kPa. This method is a newer technique to deposit CdTe thin film in large area, and the size of the film is 30 × 40 cm{sup 2}. This method is much different from the close-spaced sublimation (CSS), as the relevance between the source temperature and the substrate temperature is weak, and the gas phase of CdTe is transferred to the substrate by Ar + O{sub 2} flow. Through this method, the compact and uniform CdTe film (30 × 40 cm{sup 2}) has been achieved, and the performances of the CdTe thin film have been determined by transmission spectrum, SEM and XRD. The film is observed to be compact with a good crystallinity, the CdTe is polycrystalline with a cubic structure and a strongly preferred (1 1 1) orientation. Using the CdTe thin film (3 × 5 cm{sup 2}) which is taken from the deposited large-area film, the 14.6% efficiency CdS/CdTe thin film solar cell has been prepared successfully. The structure of the cell is glass/FTO/CdS/CdTe/graphite slurry/Au, short circuit current density (J{sub sc}) of the cell is 26.9 mA/cm{sup 2}, open circuit voltage (V{sub oc}) is 823 mV, and filling factor (FF) is 66.05%. This technique can be a quite promising method to apply in the industrial production, as it has great prospects in the fabricating of large-area CdTe film.

  13. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  14. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  15. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of); An, Tae Kyu [Department of Polymer Science & Engineering, Korea National University of Transportation, 50 Daehak-Ro, Chungju (Korea, Republic of); Nam, Sooji, E-mail: sjnam15@etri.re.kr [Information Control Device Section, Electronics and Telecommunications Research Institute, Daejeon, 305-700 (Korea, Republic of); Kim, Se Hyun, E-mail: shkim97@yu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, North Gyeongsang 712-749 (Korea, Republic of); Jang, Jaeyoung, E-mail: jyjang15@hanyang.ac.kr [Department of Energy Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Park, Chan Eon, E-mail: cep@postech.ac.kr [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of)

    2017-08-31

    Highlights: • Sol-gel-derived aluminum oxide thin films were prepared using ultraviolet (UV) annealing. • UV irradiation dramatically promoted the densification of AlO{sub x} during the annealing stage, thereby forming a close-packed AlO{sub x} film. • The resulting AlO{sub x} films deposited on polymer substrates exhibited good water vapor blocking properties with low water vapor transmission rates (WVTRs). - Abstract: Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlO{sub x}) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlO{sub x} thin film at 180 °C was comparable to that of AlO{sub x} thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlO{sub x} thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10{sup −7} A/cm{sup 2} at 2 MV/cm). Finally, we confirmed that a dense AlO{sub x} thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlO{sub x} thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m{sup −2} day{sup −1} (25 °C, 50% relative humidity) and 0.26 g m{sup −2} day{sup −1}, respectively.

  16. SnO2 thin film synthesis for organic vapors sensing at ambient temperature

    Directory of Open Access Journals (Sweden)

    N.H. Touidjen

    2016-12-01

    Full Text Available The present work is a study of tin dioxide (SnO2 based thin sensitive layer dedicated to organic vapors detection at ambient temperature. SnO2 thin film was deposited by chemical spray pyrolysis technique. The glass substrate temperature was kept to 400 °C, using a starting solution of 0.1 M tin (II dichloride dihydrate (SnCl2, 2H2O. Films structural and morphological properties were characterized using X-ray diffraction (XRD, scanning electron microscopy (SEM and atomic force microscope (AFM respectively. Films optical characteristics were studied using UV-VIS spectrophotometer. XRD revealed the presence of pure SnO2 polycrystalline thin film with a tetragonal rutile structure. The SEM and AFM observations confirmed the granular morphology with presence of pores in the film surface. The prepared film was tested in various organic vapors (ethanol, methanol and acetone at ambient operating temperature (25 °C ± 2 °C. The obtained results suggested that SnO2 is more sensitive to ethanol vapor with a maximum sensitivity of 35% higher than to methanol and acetone vapors (1% and 3%. The realized SnO2 based sensor demonstrated fast response and recovery times as revealed by the values of 2 s to 3 s towards 47 ppm of ethanol vapor. Keywords: SnO2 thin film, Sensitivity, XRD, SEM, AFM, UV–visible

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  19. The Tribological Behaviors of Three Films Coated on Biomedical Titanium Alloy by Chemical Vapor Deposition

    Science.gov (United States)

    Wang, Song; Liao, Zhenhua; Liu, Yuhong; Liu, Weiqiang

    2015-11-01

    Three thin films (DLC, a-C, and TiN) were performed on Ti6Al4V by chemical vapor deposition. Carbon ion implantation was pretreated for DLC and a-C films while Ti transition layer was pretreated for TiN film to strengthen the bonding strength. X-ray diffraction, Raman measurement, nano-hardness and nano-scratch tester, and cross-section etching by FIB method were used to analyze film characteristics. Tribological behaviors of these coatings were studied by articulation with both ZrO2 and UHMWPE balls using ball-on-disk sliding. The thickness values reached ~0.46, ~0.33, and ~1.67 μm for DLC, a-C, and TiN film, respectively. Nano-hardness of the coatings compared with that of untreated and bonding strength (critical load in nano-scratch test) values of composite coatings compared with that of monolayer film all increased significantly, respectively. Under destructive test (ZrO2 ball conterface) in bovine serum lubrication, TiN coating revealed the best wear resistance while DLC showed the worst. Film failure was mainly attributed to the plowing by hard ZrO2 ball characterized by abrasive and adhesive wear. Under normal test (UHMWPE ball conterface), all coatings showed significant improvement in wear resistance both in dry sliding and bovine serum lubrication. Both DLC and a-C films showed less surface damage than TiN film due to the self-lubricating phenomenon in dry sliding. TiN film showed the largest friction coefficient both in destructive and normal tests, devoting to the big TiN grains thus leading to much rougher surface and then a higher value. The self-lubricating film formed on DLC and a-C coating could also decrease their friction coefficients. The results indicated that three coatings revealed different wear mechanisms, and thick DLC or a-C film was more promising in application in lower stress conditions such as artificial cervical disk.

  20. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  1. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  2. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  3. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  4. Pulsed-laser-deposited YBCO thin films using modified MTG processed targets

    CERN Document Server

    Kim, C H; Kim, I T; Hahn, T S

    1999-01-01

    YBCO thin films were deposited by pulsed laser deposition from targets fabricated using the modified melt-textured growth (MTG) method and the solid-state sintering (SSS) method. All of the films showed c-axis orientations, but the films from the MTG targets had better crystallinity than those from the SSS targets. As the substrate temperature was increased, T sub c and J sub c of the films increased. The films from the MTG targets showed better superconducting properties than those from the SSS targets. From the composition analysis of the targets, the Y-richer vapor species arriving at the substrate from the MTG targets are thought to form a thermodynamically more stable YBCO phase with less cation disorder.

  5. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  6. Planar structured perovskite solar cells by hybrid physical chemical vapor deposition with optimized perovskite film thickness

    Science.gov (United States)

    Wei, Xiangyang; Peng, Yanke; Jing, Gaoshan; Cui, Tianhong

    2018-05-01

    The thickness of perovskite absorber layer is a critical parameter to determine a planar structured perovskite solar cell’s performance. By modifying the spin coating speed and PbI2/N,N-dimethylformamide (DMF) solution concentration, the thickness of perovskite absorber layer was optimized to obtain high-performance solar cells. Using a PbI2/DMF solution of 1.3 mol/L, maximum power conversion efficiency (PCE) of a perovskite solar cell is 15.5% with a perovskite film of 413 nm at 5000 rpm, and PCE of 14.3% was also obtained for a solar cell with a perovskite film of 182 nm thick. It is derived that higher concentration of PbI2/DMF will result in better perovskite solar cells. Additionally, these perovskite solar cells are highly uniform. In 14 sets of solar cells, standard deviations of 11 sets of solar cells were less than 0.50% and the smallest standard deviation was 0.25%, which demonstrates the reliability and effectiveness of hybrid physical chemical vapor deposition (HPCVD) method.

  7. Analysis of mechanical properties of N2in situ doped polycrystalline 3C-SiC thin films by chemical vapor deposition using single-precursor hexamethyildisilane

    International Nuclear Information System (INIS)

    Kim, Kang-San; Han, Ki-Bong; Chung, Gwiy-Sang

    2010-01-01

    This paper describes the mechanical properties of poly (polycrystalline) 3C-SiC thin films with N 2 in situ doping. In this work, in situ doped poly 3C-SiC film was deposited by using the atmospheric pressure chemical vapor deposition (APCVD) method at 1200 deg. C using single-precursor hexamethyildisilane: Si 2 (CH 3 ) 6 (HMDS) as Si and C precursors, and 0∼100 sccm N 2 as the dopant source gas. The mechanical properties of doped poly 3C-SiC thin films were measured by nano-indentation. Young's modulus and hardness were measured to be 285 and 35 GPa at 0 sccm N 2 , respectively. Young's modulus and hardness decreased with increasing N 2 flow rate. Surface morphology was evaluated by atomic force microscopy (AFM) according to N 2 flow rate.

  8. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  9. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    electron microscope. The corrosion rate of the nonequilibrium sputtered alloys, as determined by polarization resistance, is significantly reduced compared to the most corrosion resistant commercial magnesium alloys. The open circuit potentials of the sputter deposited alloys are significantly more noble compared to commercial, equilibrium phase magnesium alloys. Galvanic corrosion susceptibility has also been considerably reduced. Nonequilibrium magnesium-yttrium-titanium alloys have been shown to achieve passivity autonomously by alteration of the composition chemistry of the surface oxide/hydroxide layer. Self-healing properties are also evident, as corrosion propagation can be arrested after initial pitting of the material. A clear relationship exists between the corrosion resistance of sputter vapor deposited magnesium alloys and the amount of ion bombardment incurred by the alloy during deposition. Argon pressure, the distance between the source and the substrate, and alloy morphology play important roles in determining the ability of the alloy to develop a passive film. Thermal effects, both during and after alloy deposition, alter the stress state of the alloys, precipitation of second phases, and the mechanical stability of the passive film. An optimal thermal treatment has been developed in order to maximize the corrosion resistance of the magnesium-yttrium-titanium alloys. The significance of the results includes the acquisition of electrochemical data for these novel materials, as well as expanding the utilization of magnesium alloys by the improvement in their corrosion resistance. The magnesium alloys developed in this work are more corrosion resistant than any commercial magnesium alloy. Structural components comprised of these alloys would therefore exhibit unprecedented corrosion performance. Coatings of these alloys on magnesium components would provide a corrosion resistant yet galvanically-compatible coating. The broad impact of these contributions is

  10. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  11. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  12. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  13. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  14. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  15. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  16. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  18. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Chason, E.; Karlson, M. [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States); Colin, J. J.; Abadias, G. [Institut P' , Département Physique et Mécanique des Matériaux, Université de Poitiers-CNRS-ENSMA, SP2MI, Téléport 2, Bd M. et P. Curie, F-86962 Chasseneuil-Futuroscope (France); Magnfält, D.; Sarakinos, K. [Nanoscale Engineering Division, Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2016-04-14

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on the grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.

  19. Study of porogen removal by atomic hydrogen generated by hot wire chemical vapor deposition for the fabrication of advanced low-k thin films

    Energy Technology Data Exchange (ETDEWEB)

    Godavarthi, S., E-mail: srinivas@cinvestav.mx [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); Universidad Nacional Autonoma de Mexico, Instituto de Ciencias Fisicas, Av. Universidad, Cuernavaca, Morelos (Mexico); Wang, C.; Verdonck, P. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Matsumoto, Y.; Koudriavtsev, I. [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Dutt, A. [SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Tielens, H.; Baklanov, M.R. [imec, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-30

    In order to obtain low-k dielectric films, a subtractive technique, which removes sacrificial porogens from a hydrogenated silicon oxycarbide (SiOC:H) film, has been used successfully by different groups in the past. In this paper, we report on the porogen removal from porogenated SiOC:H films, using a hot wire chemical vapor deposition (HWCVD) equipment. Molecular hydrogen is dissociated into atomic hydrogen by the hot wires and these atoms may successfully remove the hydrocarbon groups from the porogenated SiOC:H films. The temperature of the HWCVD filaments proved to be a determining factor. By Fourier transform infrared spectroscopy, X-ray reflectivity (XRR), secondary ion mass spectrometry (SIMS), ellipsometric porosimetry and capacitance-voltage analyses, it was possible to determine that for temperatures higher than 1700 °C, efficient porogen removal occurred. For temperatures higher than 1800 °C, the presence of OH groups was detected. The dielectric constant was the lowest, 2.28, for the samples processed at a filament temperature of 1800 °C, although porosity measurements showed higher porosity for the films deposited at the higher temperatures. XRR and SIMS analyses indicated densification and Tungsten (W) incorporation at the top few nanometers of the films.

  20. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  1. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  2. Characterisation of titanium nitride films obtained by metalorganic chemical vapor deposition (MOCVD); Caracterizacao de filmes de nitreto de titanio obtidos por MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pillis, M.F., E-mail: mfpillis@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (CCTM/IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Ciencia e Tecnologia de Materiais; Franco, A.C. [Universidade de Sao Paulo (IF/USP), SP (Brazil). Inst. de Fisica; Araujo, E.G. de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Sacilotti, M. [Universidade Federal de Pernambuco (IF/UFPE), Recife, PE (Brazil). Inst. de Fisica; Fundacao de Amparo a Ciencia e Tecnologia de Pernambuco (FACEPE), Recife, PE (Brazil)

    2009-07-01

    Ceramic coatings have been widely used as protective coating to improve the life of cutting tools, for corrosion protection and in microelectronics, optical and medical areas. Transition metals nitrides are of special interest due to its high hardness and thermal stability. In this work thin films of titanium nitride were obtained by MOCVD (metalorganic chemical vapor deposition) process. The tests were carried out for 1h at 700 deg C under 80 and 100 mbar of pressure. The characterization was made by using scanning electron microscopy coupled with dispersive energy analysis, and X-ray diffraction. Preliminary results suggested that Ti{sub 2}N phase was formed and that the growth rate varied between 4 and 13 nm/min according to the process parameter considered. (author)

  3. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  4. Application of Discharges in Vapor of Evaporated Metals for the Film Deposition from the Ionized Stream

    International Nuclear Information System (INIS)

    Kostin, E.G.

    2006-01-01

    results of researches of the discharge device for ionization of the vapor of solid materials are presented. Evaporation of a material was made by an electron gun with a deviation of a beam on 180 degree. Diode type discharge device for ionization was placed above a surface of evaporated metal and was in a longitudinal adjustable magnetic field. Discharge was carried out in crossed electric and magnetic fields. Partial ionization of the vapor was made by primary and secondary electrons of the gun in a vapor cloud above evaporated substance. Physical properties and structure of the films. The comparative analysis of the films properties, besieged in conditions of influence of bombardment by ions of evaporated metal were studied depending on energy and the contents of ions in a stream of particles on a substrate

  5. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  6. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  7. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  8. Structure and soft magnetic properties of sputter deposited MnZn-ferrite films

    NARCIS (Netherlands)

    Gillies, M.F.; Coehoorn, R.; van Zon, J.B.A.D.; Alders, D.

    1998-01-01

    In this paper we report the soft magnetic properties of thin films of sputtered MnZn ferrite deposited on thermally oxidized Si substrates. A high deposition temperature, 600¿°C, together with the addition of water vapor to the sputtering gas was found to improve the initial ac permeability, µ. The

  9. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  10. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  11. Preparation of high-pressure phase boron nitride films by physical vapor deposition

    CERN Document Server

    Zhu, P W; Zhao, Y N; Li, D M; Liu, H W; Zou Guang Tian

    2002-01-01

    The high-pressure phases boron nitride films together with cubic, wurtzic, and explosive high-pressure phases, were successfully deposited on the metal alloy substrates by tuned substrate radio frequency magnetron sputtering. The percentage of cubic boron nitride phase in the film was about 50% as calculated by Fourier transform infrared measurements. Infrared peak position of cubic boron nitride at 1006.3 cm sup - sup 1 , which is close to the stressless state, indicates that the film has very low internal stress. Transition electron microscope micrograph shows that pure cubic boron nitride phase exits on the surface of the film. The growth mechanism of the BN films was also discussed.

  12. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  13. Atmospheric Pressure Chemical Vapor Deposition of CdTe for High-Efficiency Thin-Film PV Devices; Annual Report, 26 January 1998-25 January 1999

    Energy Technology Data Exchange (ETDEWEB)

    Meyers, P. V. [ITN Energy Systems, Wheat Ridge, Colorado (US); Kee, R.; Wolden, C.; Raja, L.; Kaydanov, V.; Ohno, T.; Collins, R.; Aire, M.; Kestner, J. [Colorado School of Mines, Golden, Colorado (US); Fahrenbruch, A. [ALF, Inc., Stanford, California (US)

    1999-09-30

    ITN's 3-year project, titled ''Atmospheric Pressure Chemical Vapor Deposition (APCVD) of CdTe for High-Efficiency Thin-Film Photovoltaic (PV) Devices,'' has the overall objectives of improving thin-film CdTe PV manufacturing technology and increasing CdTe PV device power conversion efficiency. CdTe deposition by APCVD employs the same reaction chemistry as has been used to deposit 16%-efficient CdTe PV films, i.e., close-spaced sublimation, but employs forced convection rather than diffusion as a mechanism of mass transport. Tasks of the APCVD program center on demonstrating APCVD of CdTe films, discovering fundamental mass-transport parameters, applying established engineering principles to the deposition of CdTe films, and verifying reactor design principles that could be used to design high-throughput, high-yield manufacturing equipment. Additional tasks relate to improved device measurement and characterization procedures that can lead to a more fundamental understanding of CdTe PV device operation, and ultimately, to higher device conversion efficiency and greater stability. Specifically, under the APCVD program, device analysis goes beyond conventional one-dimensional device characterization and analysis toward two-dimension measurements and modeling. Accomplishments of the first year of the APCVD subcontract include: selection of the Stagnant Flow Reactor design concept for the APCVD reactor, development of a detailed reactor design, performance of detailed numerical calculations simulating reactor performance, fabrication and installation of an APCVD reactor, performance of dry runs to verify reactor performance, performance of one-dimensional modeling of CdTe PV device performance, and development of a detailed plan for quantification of grain-boundary effects in polycrystalline CdTe devices.

  14. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  15. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  16. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  17. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  18. Effect of the substrate on the properties of ZnO-MgO thin films grown by atmospheric pressure metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atohuer@hotmail.com [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Dominguez-Crespo, M.A. [Instituto Politecnico Nacional, Grupo de Ingenieria en Procesamiento de Materiales CICATA-IPN, Unidad Altamira, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Brachetti-Sibaja, S.B. [Alumna del postgrado en Tecnologia Avanzada del CICATA-IPN, Unidad Altamira IPN, km 14.5, Carretera Tampico-Puerto Industrial Altamira. C. P. 89600, Altamira, Tamps (Mexico); Arenas-Alatorre, J. [Instituto de Fisica, UNAM, Apartado Postal 20-364, 01000, D.F. (Mexico); Rodriguez-Pulido, A. [Unidad Profesional Adolfo Lopez Mateos, Luis Enrique Erro s/n, 07738, D. F. (Mexico)

    2011-07-01

    The ZnO-MgO alloys possess attractive properties for possible applications in optoelectronic and display devices; however, the optical properties are strongly dependent on the deposition parameters. In this work, the effect of the glassy and metallic substrates on the structural, morphological and optical properties of ZnO-MgO thin films using atmospheric pressure metal-organic chemical vapor deposition was investigated at relatively low deposition temperature, 500 deg. C. Magnesium and zinc acetylacetonates were used as the metal-organic source. X-ray diffraction experiments provided evidence that the kind of substrates cause a deviation of c-axis lattice constant due to the constitution of a oxide mixture (ZnO and MgO) in combination with different intermetallic compounds(Mg{sub 2}Zn{sub 11} and Mg{sub 4}Zn{sub 7}) in the growth films. The substitutional and interstitial sites of Mg{sup 2+} instead of Zn{sup 2+} ions in the lattice are the most probable mechanism to form intermetallic compounds. The optical parameters as well as thickness of the films were calculated by Spectroscopic Ellipsometry using the classical dispersion model based on the sum of the single and double Lorentz and Drude oscillators in combination with Kato-Adachi equations, as well as X-ray reflectivity.

  19. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  20. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  1. Modeling of thermal, electronic, hydrodynamic, and dynamic deposition processes for pulsed-laser deposition of thin films

    International Nuclear Information System (INIS)

    Liu, C.L.; LeBoeuf, J.N.; Wood, R.F.; Geohegan, D.B.; Donato, J.M.; Chen, K.R.; Puretzky, A.A.

    1994-11-01

    Various physical processes during laser ablation of solids for pulsed-laser deposition (PLD) are studied using a variety of computational techniques. In the course of the authors combined theoretical and experimental effort, they have been trying to work on as many aspects of PLD processes as possible, but with special focus on the following areas: (a) the effects of collisional interactions between the particles in the plume and in the background on the evolving flow field and on thin film growth, (b) interactions between the energetic particles and the growing thin films and their effects on film quality, (c) rapid phase transformations through the liquid and vapor phases under possibly nonequilibrium thermodynamic conditions induced by laser-solid interactions, (d) breakdown of the vapor into a plasma in the early stages of ablation through both electronic and photoionization processes, (c) hydrodynamic behavior of the vapor/plasma during and after ablation. The computational techniques used include finite difference (FD) methods, particle-in-cell model, and atomistic simulations using molecular dynamics (MD) techniques

  2. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  3. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  4. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  5. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  6. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  7. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  8. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  9. Synthesis and optical properties of BCxNy films deposited from N-triethylborazine and hydrogen mixture

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Rumyantsev, Yurii M.; Kesler, Valerii G.; Kosinova, Marina L.

    2015-01-01

    BC x N y films were obtained by plasma enhanced chemical vapor deposition method using a single-source precursor N-triethylborazine and hydrogen as plasma activating gas. The effect of synthesis temperature on the chemical composition and properties of the BC x N y films was investigated. The BC x N y films were examined by scanning electron microscopy, Fourier transform infrared and Raman spectroscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and ellipsometry and spectrophotometry techniques. These experimental results indicated that the BC x N y films produced at low temperatures (T dep ≤ 673 K) are the polymer-like hydrogenated films with high transparency up to 93% in the visible part of the spectrum. BC x N y films produced at high temperatures (> 673 K) contain additional phase of disordered carbon which has dramatically reduce transparency. The band gap of the films varied from 0.6 to 4.5 eV, with variation in deposition temperature. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-triethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • Optical band gap of the BC x N y layers varied from 0.6 to 4.5 eV

  10. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  11. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  12. Physical vapor deposition of CdTe thin films at low temperature for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Heisler, Christoph; Brueckner, Michael; Lind, Felix; Kraft, Christian; Reisloehner, Udo; Ronning, Carsten; Wesch, Werner [Institute of Solid State Physics, University of Jena, Max-Wien-Platz 1, D-07743 Jena (Germany)

    2012-07-01

    Cadmium telluride is successfully utilized as an absorber material for thin film solar cells. Industrial production makes use of high substrate temperatures for the deposition of CdTe absorber layers. However, in order to exploit flexible substrates and to simplify the manufacturing process, lower deposition temperatures are beneficial. Based on the phase diagram of CdTe, predictions on the stoichiometry of CdTe thin films grown at low substrate temperatures are made in this work. These predictions were verified experimentally using additional sources of Cd and Te during the deposition of the CdTe thin films at different substrate temperatures. The deposited layers were analyzed with energy-dispersive X-ray spectroscopy. In case of CdTe layers which were deposited at substrate temperatures lower than 200 C without usage of additional sources we found a non-stoichiometric growth of the CdTe layers. The application of the additional sources leads to a stoichiometric growth for substrate temperatures down to 100 C which is a significant reduction of the substrate temperature during deposition.

  13. Collapsing criteria for vapor film around solid spheres as a fundamental stage leading to vapor explosion

    Energy Technology Data Exchange (ETDEWEB)

    Freud, Roy [Nuclear Research Center - Negev, Beer-Sheva (Israel)], E-mail: freud@bgu.ac.il; Harari, Ronen [Nuclear Research Center - Negev, Beer-Sheva (Israel); Sher, Eran [Pearlstone Center for Aeronautical Studies, Department of Mechanical Engineering, Ben-Gurion University, Beer-Sheva (Israel)

    2009-04-15

    Following a partial fuel-melting accident, a Fuel-Coolant Interaction (FCI) can result with the fragmentation of the melt into tiny droplets. A vapor film is then formed between the melt fragments and the coolant, while preventing a contact between them. Triggering, propagation and expansion typically follow the premixing stage. In the triggering stage, vapor film collapse around one or several of the fragments occurs. This collapse can be the result of fragments cooling, a sort of mechanical force, or by any other means. When the vapor film collapses and the coolant re-establishes contact with the dry surface of the hot melt, it may lead to a very rapid and rather violent boiling. In the propagation stage the shock wave front leads to stripping of the films surrounding adjacent droplets which enhance the fragmentation and the process escalates. During this process a large quantity of liquid vaporizes and its expansion can result in destructive mechanical damage to the surrounding structures. This multiphase thermal detonation in which high pressure shock wave is formed is regarded as 'vapor explosion'. The film boiling and its possible collapse is a fundamental stage leading to vapor explosion. If the interaction of the melt and the coolant does not result in a film boiling, no explosion occurs. Many studies have been devoted to determine the minimum temperature and heat flux that is required to maintain a film boiling. The present experimental study examines the minimum temperature that is required to maintain a film boiling around metal spheres immersed into a liquid (subcooled distilled water) reservoir. In order to simulate fuel fragments that are small in dimension and has mirror-like surface, small spheres coated with anti-oxidation layer were used. The heat flux from the spheres was calculated from the sphere's temperature profiles and the sphere's properties. The vapor film collapse was associated with a sharp rise of the heat flux

  14. Collapsing criteria for vapor film around solid spheres as a fundamental stage leading to vapor explosion

    International Nuclear Information System (INIS)

    Freud, Roy; Harari, Ronen; Sher, Eran

    2009-01-01

    Following a partial fuel-melting accident, a Fuel-Coolant Interaction (FCI) can result with the fragmentation of the melt into tiny droplets. A vapor film is then formed between the melt fragments and the coolant, while preventing a contact between them. Triggering, propagation and expansion typically follow the premixing stage. In the triggering stage, vapor film collapse around one or several of the fragments occurs. This collapse can be the result of fragments cooling, a sort of mechanical force, or by any other means. When the vapor film collapses and the coolant re-establishes contact with the dry surface of the hot melt, it may lead to a very rapid and rather violent boiling. In the propagation stage the shock wave front leads to stripping of the films surrounding adjacent droplets which enhance the fragmentation and the process escalates. During this process a large quantity of liquid vaporizes and its expansion can result in destructive mechanical damage to the surrounding structures. This multiphase thermal detonation in which high pressure shock wave is formed is regarded as 'vapor explosion'. The film boiling and its possible collapse is a fundamental stage leading to vapor explosion. If the interaction of the melt and the coolant does not result in a film boiling, no explosion occurs. Many studies have been devoted to determine the minimum temperature and heat flux that is required to maintain a film boiling. The present experimental study examines the minimum temperature that is required to maintain a film boiling around metal spheres immersed into a liquid (subcooled distilled water) reservoir. In order to simulate fuel fragments that are small in dimension and has mirror-like surface, small spheres coated with anti-oxidation layer were used. The heat flux from the spheres was calculated from the sphere's temperature profiles and the sphere's properties. The vapor film collapse was associated with a sharp rise of the heat flux during the cooling

  15. Industrial high-rate (~14 nm/s) deposition of low resistive and transparent ZnOx:Al films on glass

    NARCIS (Netherlands)

    Illiberi, A.; Kniknie, B.; Deelen, J. van; Steijvers, H.L.A.H.; Habets, D.; Simons, P.J.P.M.; Janssen, A.C.; Beckers, E.H.A.

    2011-01-01

    Aluminum doped ZnOx (ZnOx:Al) films have been deposited on glass in an in-line industrial-type reactor by a metalorganic chemical vapor deposition process at atmospheric pressure. Tertiary-butanol has been used as oxidant for diethylzinc and trimethylaluminium as dopant gas. ZnOx:Al films can be

  16. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  17. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  18. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  19. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  20. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  1. Molecular fouling resistance of zwitterionic and amphiphilic initiated chemically vapor-deposited (iCVD) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yang, R; Goktekin, E; Wang, MH; Gleason, KK

    2014-08-08

    Biofouling is a universal problem in various applications ranging from water purification to implantable biomedical devices. Recent advances in surface modification have created a rich library of antifouling surface chemistries, many of which can be categorized into one of the two groups: hydrophilic surfaces or amphiphilic surfaces. We report the straightforward preparation of antifouling thin film coatings in both categories via initiated chemical vapor deposition. A molecular force spectroscopy-based method is demonstrated as a rapid and quantitative assessment tool for comparing the differences in antifouling characteristics. The fouling propensity of single molecules, as opposed to bulk protein solution or bacterial culture, is assessed. This method allows for the interrogation of molecular interaction without the complication resulted from protein conformational change or micro-organism group interactions. The molecular interaction follows the same trend as bacterial adhesion results obtained previously, demonstrating that molecular force probe is a valid method for the quantification and mechanistic examination of fouling. In addition, the molecular force spectroscopy-based method is able to distinguish differences in antifouling capability that is not resolvable by traditional static protein adsorption tests. To lend further insight into the intrinsic fouling resistance of zwitterionic and amphiphilic surface chemistries, Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, advancing and receding water contact angles, and atomic force microscopy are used to elucidate the film properties that are relevant to their antifouling capabilities.

  2. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    Science.gov (United States)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  3. Supercritical fluid molecular spray film deposition and powder formation

    Science.gov (United States)

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  4. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  5. Selective area deposition of diamond films on AlGaN/GaN heterostructures

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Babchenko, Oleg; Jirásek, Vít; Vanko, G.; Vallo, M.; Vojs, M.; Kromka, Alexander

    2014-01-01

    Roč. 250, č. 12 (2014), 2574-2580 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : circular high electron mobility transistors * diamond films * GaN substrates * microwave chemical vapor deposition * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  6. Vapor and healing treatment for CH3NH3PbI3-xClx films toward large-area perovskite solar cells

    Science.gov (United States)

    Gouda, Laxman; Gottesman, Ronen; Tirosh, Shay; Haltzi, Eynav; Hu, Jiangang; Ginsburg, Adam; Keller, David A.; Bouhadana, Yaniv; Zaban, Arie

    2016-03-01

    Hybrid methyl-ammonium lead trihalide perovskites are promising low-cost materials for use in solar cells and other optoelectronic applications. With a certified photovoltaic conversion efficiency record of 20.1%, scale-up for commercial purposes is already underway. However, preparation of large-area perovskite films remains a challenge, and films of perovskites on large electrodes suffer from non-uniform performance. Thus, production and characterization of the lateral uniformity of large-area films is a crucial step towards scale-up of devices. In this paper, we present a reproducible method for improving the lateral uniformity and performance of large-area perovskite solar cells (32 cm2). The method is based on methyl-ammonium iodide (MAI) vapor treatment as a new step in the sequential deposition of perovskite films. Following the MAI vapor treatment, we used high throughput techniques to map the photovoltaic performance throughout the large-area device. The lateral uniformity and performance of all photovoltaic parameters (Voc, Jsc, Fill Factor, Photo-conversion efficiency) increased, with an overall improved photo-conversion efficiency of ~100% following a vapor treatment at 140 °C. Based on XRD and photoluminescence measurements, We propose that the MAI treatment promotes a ``healing effect'' to the perovskite film which increases the lateral uniformity across the large-area solar cell. Thus, the straightforward MAI vapor treatment is highly beneficial for large scale commercialization of perovskite solar cells, regardless of the specific deposition method.Hybrid methyl-ammonium lead trihalide perovskites are promising low-cost materials for use in solar cells and other optoelectronic applications. With a certified photovoltaic conversion efficiency record of 20.1%, scale-up for commercial purposes is already underway. However, preparation of large-area perovskite films remains a challenge, and films of perovskites on large electrodes suffer from non

  7. Nanocharacterization of TiN films obtained by Ion Vapor deposition

    International Nuclear Information System (INIS)

    Lara O, L; Jerez A, M; Morantes M, L; Plata, A; Torres, Y; Lasprilla, M; Zhabon, V

    2011-01-01

    We evaluate and characterize the surface at the nanoscale level and take into account the temperature variation effect in the process of plasma ion deposition for H13 steel samples coated by Titanium Nitride (TiN). The interferometric microscopy and atomic force microscopy (AFM) were used to measure the film to analyze the variation of structural and morphological properties of nanofilms that depend on the temperature of sustrate.

  8. Research Update: Hybrid organic-inorganic perovskite (HOIP thin films and solar cells by vapor phase reaction

    Directory of Open Access Journals (Sweden)

    Po-Shen Shen

    2016-09-01

    Full Text Available With the rapid progress in deposition techniques for hybrid organic-inorganic perovskite (HOIP thin films, this new class of photovoltaic (PV technology has achieved material quality and power conversion efficiency comparable to those established technologies. Among the various techniques for HOIP thin films preparation, vapor based deposition technique is considered as a promising alternative process to substitute solution spin-coating method for large-area or scale-up preparation. This technique provides some unique benefits for high-quality perovskite crystallization, which are discussed in this research update.

  9. Temperature dependent electrical properties of polyaniline film grown on paper through aniline vapor polymerization

    International Nuclear Information System (INIS)

    Deb, K.; Bera, A.; Saha, B.; Bhowmik, K. L.; Chattopadhyay, K. K.

    2016-01-01

    Polyaniline thin film has been prepared on paper by aniline vapor deposition technique. Ferric chloride has been used as polymerizing agent in this approach. The prepared films were studied through electrical resistivity and optical properties measurements. The electrical resistivity of the polyaniline film shows significant temperature dependence. The resistance sharply falls with the increase in temperature. The optical absorbance measurements shows characteristics absorbance peak indicating the formation of conducting emeraldine salt form of polyaniline. The optical energy band gap of the film was calculated from the transmittance spectra. The optical energy band gap and electrical conductivity of the polyaniline film is well suited for their applications in electronic devices.

  10. Temperature dependent electrical properties of polyaniline film grown on paper through aniline vapor polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Deb, K.; Bera, A.; Saha, B., E-mail: biswajit.physics@gmail.com [Department of Physics, National Institute of Technology Agartala, Jirania, West Tripura 799046 (India); Bhowmik, K. L. [Department of Physics, National Institute of Technology Agartala, Jirania, West Tripura 799046 (India); Department of Chemistry, Bir Bikram Memorial College, Agartala, West Tripura 799004 (India); Chattopadhyay, K. K. [Department of Physics, Jadavpur University, Kolkata 700 032 (India)

    2016-05-23

    Polyaniline thin film has been prepared on paper by aniline vapor deposition technique. Ferric chloride has been used as polymerizing agent in this approach. The prepared films were studied through electrical resistivity and optical properties measurements. The electrical resistivity of the polyaniline film shows significant temperature dependence. The resistance sharply falls with the increase in temperature. The optical absorbance measurements shows characteristics absorbance peak indicating the formation of conducting emeraldine salt form of polyaniline. The optical energy band gap of the film was calculated from the transmittance spectra. The optical energy band gap and electrical conductivity of the polyaniline film is well suited for their applications in electronic devices.

  11. Temperature dependent electrical properties of polyaniline film grown on paper through aniline vapor polymerization

    Science.gov (United States)

    Deb, K.; Bhowmik, K. L.; Bera, A.; Chattopadhyay, K. K.; Saha, B.

    2016-05-01

    Polyaniline thin film has been prepared on paper by aniline vapor deposition technique. Ferric chloride has been used as polymerizing agent in this approach. The prepared films were studied through electrical resistivity and optical properties measurements. The electrical resistivity of the polyaniline film shows significant temperature dependence. The resistance sharply falls with the increase in temperature. The optical absorbance measurements shows characteristics absorbance peak indicating the formation of conducting emeraldine salt form of polyaniline. The optical energy band gap of the film was calculated from the transmittance spectra. The optical energy band gap and electrical conductivity of the polyaniline film is well suited for their applications in electronic devices.

  12. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  13. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  14. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  15. Near-room temperature deposition of W and WO3 thin films by hydrogen atom assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Lee, W.W.; Reeves, R.R.

    1992-01-01

    A novel near-room temperatures CVD process has been developed using H-atoms reaction with WF 6 to produced tungsten and tungsten oxide films. The chemical, physical and electrical properties of these films were studied. Good adhesion and low resistivity of W films were measured. Conformal WO 3 films were obtained on columnar tungsten using a small amount of molecular oxygen in the gas stream. A reaction mechanism was evaluated on the basis of experimental results. The advantages of the method include deposition of adherent films in a plasma-free environment, near-room temperature, with a low level of impurity

  16. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  17. Fabrication of Co thin films using pulsed laser deposition method with or without employing external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Ehsani, M.H., E-mail: Ehsani@semnan.ac.ir [Thin Film Laboratory, Faculty of Physics, Semnan University (Iran, Islamic Republic of); Mehrabad, M. Jalali [Thin Film Laboratory, Faculty of Physics, Semnan University (Iran, Islamic Republic of); Kameli, P. [Department of Physics, Isfahan University of technology, Isfahan 8415683111 (Iran, Islamic Republic of)

    2016-11-01

    In this work, the external magnetic field effects on growth condition during deposition processes of the Co thin films were studied. Two specimens of Co films with different condition (with and without external magnetic field) were synthesized by pulsed laser deposition method. Structural and magnetic properties of the Co thin films were systematically studied, using atomic force microscope analysis and magnetization measurement, respectively. During the deposition processes, the external applied magnetic field had been provided by a permanent magnet. The experimental results show that the external magnetic field enables one to tune the magnetic properties of the deposited thin films. To clarify this effect, using Multi-Physics COMSOL simulation environment, a study of vapor flux by applied magnetic field during deposition were performed. Comparison between experimental data and output data of the simulation show promising accommodation and approve the existence of a strong correlation between the structural and magnetic properties of the specimens, and deposition rate of Co thin films. - Graphical abstract: Simulation results of the cobalt particles tracing sputtered from the source to substrate with an external magnetic field. Convergence of the particles flux (left) and also the spiral motion of the cobalt particles (right) increase dramatically as they approach the substrate and NdFe35 magnet. - Highlights: • The external magnetic field effects on growth condition during deposition processes of the Co thin films were studied. • Structural and magnetic properties of the Co thin films were systematically studied, using atomic force microscope analysis and magnetization measurement, respectively. • The experimental results show that the external magnetic field enables one to tune the magnetic properties of the deposited thin films. • To clarify this effect, using Multi-Physics COMSOL simulation environment, a study of vapor flux by applied magnetic field

  18. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  20. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  1. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  2. Characterization of fluorinated silica thin films with ultra-low refractive index deposited at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Abbasi-Firouzjah, Marzieh [Semnan Science and Technology Park, 3614933578, Shahrood (Iran, Islamic Republic of); Shokri, Babak, E-mail: b-shokri@sbu.ac.ir [Laser & Plasma Research Institute, Shahid Beheshti University, G.C., Evin, Tehran 1983963113 (Iran, Islamic Republic of); Physics Department, Shahid Beheshti University, G.C., Evin, Tehran 1983963113 (Iran, Islamic Republic of)

    2015-02-27

    Structural and optical properties of low refractive index fluorinated silica (SiO{sub x}C{sub y}F{sub z}) films were investigated. The films were deposited on p-type silicon and polycarbonate substrates by radio frequency plasma enhanced chemical vapor deposition method at low temperatures. A mixture of tetraethoxysilane vapor, oxygen, and CF{sub 4} was used for deposition of the films. The influence of oxygen flow rate on the elemental compositions, chemical bonding states and surface roughness of the films was studied using energy dispersive X-ray analyzer, Fourier transform infrared spectroscopy in reflectance mode and atomic force microscopy, respectively. Effects of chemical bonds of the film matrix on optical properties and chemical stability were discussed. Energy dispersive spectroscopy showed high fluorine content in the SiO{sub x}C{sub y}F{sub z} film matrix which is in the range of 7.6–11.3%. It was concluded that in fluorine content lower than a certain limit, chemical stability of the film enhances, while higher contents of fluorine heighten moisture absorption followed by increasing refractive index. All of the deposited films were highly transparent. Finally, it was found that the refractive index of the SiO{sub x}C{sub y}F{sub z} film was continuously decreased with the increase of the O{sub 2} flow rate down to the minimum value of 1.16 ± 0.01 (at 632.8 nm) having the most ordered and nano-void structure and the least organic impurities. This sample also had the most chemical stability against moisture absorption. - Highlights: • Low deposition temperature and organic precursor led to higher film fluorination. • High fluorine and nanovoid structure led to drastic decrease in the refractive index. • Silica based thin film with ultralow refractive index of 1.16 was produced. • The produced ultralow-n film is highly stable against moisture absorption.

  3. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  4. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  5. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  6. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  7. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  8. Industrial Application of Thin Films (TiAl)N Deposited on Thermo-Wells

    International Nuclear Information System (INIS)

    Velez, G.; Jaramillo, S.; Arango, Y. C.; Devia, D.; Quintero, J.; Devia, A.

    2006-01-01

    The thermo-well is formed by two layers, one layer is a ceramic and the other layer is anviloy (comprised tungsten). They are used to coat the thermocouple in the control temperature system during the Aluminum-Silicon alloy melting process. After two weeks of continuous work at 750 deg. C of temperature (the alloy temperature), a high wear in this material is observed, affecting the ceramic. (TiAl)N thin films are deposited directly on the anviloy substrates by the PAPVD (Plasma Assisted Physics Vapor Deposition) in arc pulsed technique, using a TiAl target in a mono-vaporizer system, composed by a reactor and a power controlled system. Two opposite electrodes are placed into the reactor and discharge is produced by a controlled power system. The XRD (X-ray diffraction) patterns show the presence of the (TiAl)N thin film peaks. The morphological characteristics are studied by the scanning probe microscopy (SPM)

  9. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  10. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  11. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  12. Preparation and characterization of thin organosilicon films deposited on SPR chip

    Energy Technology Data Exchange (ETDEWEB)

    Szunerits, Sabine [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France)], E-mail: sabine.szunerits@lepmi.inpg.fr; Rich, Sami Abou [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Coffinier, Yannick [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Languille, Marie-Angelique [Unite de Catalyse et de Chimie du Solide, UCCS UMR CNRS-8181, Universite des Sciences et Technologies de Lille, Bat. C3, 59655 Villeneuve d' Ascq (France); Supiot, Philippe [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Boukherroub, Rabah [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France)], E-mail: rabah.boukherroub@iemn.univ-lille1.fr

    2008-04-20

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N{sub 2} plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  13. Preparation and characterization of thin organosilicon films deposited on SPR chip

    International Nuclear Information System (INIS)

    Szunerits, Sabine; Rich, Sami Abou; Coffinier, Yannick; Languille, Marie-Angelique; Supiot, Philippe; Boukherroub, Rabah

    2008-01-01

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N 2 plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  14. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  15. Particulate generation during pulsed laser deposition of superconductor thin films

    International Nuclear Information System (INIS)

    Singh, R.K.

    1993-01-01

    The nature of evaporation/ablation characteristics during pulsed laser deposition strongly controls the quality of laser-deposited films. To understand the origin of particulates in laser deposited films, the authors have simulated the thermal history of YBa 2 Cu 3 O 7 targets under intense nanosecond laser irradiation by numerically solving the heat flow equation with appropriate boundary conditions. During planar surface evaporation of the target material, the sub-surface temperatures were calculated to be higher than the surface temperatures. While the evaporating surface of the target is constantly being cooled due to the latent heat of vaporization, subsurface superheating occurs due to the finite absorption depth of the laser beam. Sub-surface superheating was found to increase with decreasing absorption coefficient and thermal conductivity of the target, and with increasing energy density. The superheating may lead to sub-surface nucleation and growth of the gaseous phase which can expand rapidly leading to microexplosions and ''volume expulsion'' of material from the target. Experiments conducted by the authors and other research groups suggest a strong relation between degree of sub-surface superheating and particle density in laser-deposited films

  16. Formation and characterization of the MgO protecting layer deposited by plasma-enhanced metal-organic chemical-vapor deposition

    CERN Document Server

    Kang, M S; Byun, J C; Kim, D S; Choi, C K; Lee, J Y; Kim, K H

    1999-01-01

    MgO films were prepared on Si(100) and soda-lime glass substrates by using plasma-enhanced metal-organic chemical-vapor deposition. Various ratios of the O sub 2 /CH sub 3 MgO sup t Bu gas mixture and various gas flow rates were tested for the film fabrications. Highly (100)-oriented MgO films with good crystallinity were obtained with a 10 sccm CH sub 3 MgO sup t Bu flow without an O sub 2 gas flow. About 5 % carbon was contained in all the MgO films. The refractive index and the secondary electron emission coefficient for the best quality film were 1.43 and 0.45, respectively. The sputtering rate was about 0.2 nm/min for 10 sup 1 sup 1 cm sup - sup 3 Ar sup + ion density. Annealing at 500 .deg. C in an Ar ambient promoted the grain size without inducing a phase transition.

  17. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  18. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    International Nuclear Information System (INIS)

    Shirahata, Takahiro; Kawaharamura, Toshiyuki; Fujita, Shizuo; Orita, Hiroyuki

    2015-01-01

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10"−"4 Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac)_2], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH_3 to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10"−"3 Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac)_2] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10"−"3 Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  19. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shirahata, Takahiro [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan); Kawaharamura, Toshiyuki [Research Institute, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); School of Systems Engineering, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); Fujita, Shizuo, E-mail: fujitasz@kuee.kyoto-u.ac.jp [Photonics and Electronics Science and Engineering Center, Kyoto University, Katsura, Nishikyo-ku, Kyoto 615-8520 (Japan); Orita, Hiroyuki [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan)

    2015-12-31

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10{sup −4} Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac){sub 2}], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH{sub 3} to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10{sup −3} Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac){sub 2}] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10{sup −3} Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  20. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    Science.gov (United States)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    Science.gov (United States)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  3. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  4. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  5. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  6. Effects of magnetic flux densities on microstructure evolution and magnetic properties of molecular-beam-vapor-deposited nanocrystalline Fe_3_0Ni_7_0 thin films

    International Nuclear Information System (INIS)

    Cao, Yongze; Wang, Qiang; Li, Guojian; Ma, Yonghui; Du, Jiaojiao; He, Jicheng

    2015-01-01

    Nanocrystalline Fe_3_0Ni_7_0 (in atomic %) thin films were prepared by molecular-beam-vapor deposition in magnetic fields with different magnetic flux densities. The microstructure evolution of these thin films was studied by atomic force microscopy, transmission electron microscopy, and high resolution transmission electron microscopy; the soft magnetic properties were examined by vibrating sample magnetometer at room temperature. The results show that all our Fe_3_0Ni_7_0 thin films feature an fcc single-phase structure. With increasing magnetic flux density, surface roughness, average particle size and grain size of the thin films decreased, and the short-range ordered clusters (embryos) of thin films increased. Additionally, the magnetic anisotropy in the in-plane and the coercive forces of the thin films gradually reduced with increasing magnetic flux density. - Highlights: • With increasing magnetic flux density, average particle size of films decreased. • With increasing magnetic flux density, surface roughness of thin films decreased. • With increasing magnetic flux density, short-range ordered clusters increased. • With increasing magnetic flux density, the coercive forces of thin films reduced. • With increasing magnetic flux density, soft magnetic properties are improved.

  7. Dependence of surface-enhanced infrared absorption (SEIRA) enhancement and spectral quality on the choice of underlying substrate: a closer look at silver (Ag) films prepared by physical vapor deposition (PVD).

    Science.gov (United States)

    Killian, Michelle M; Villa-Aleman, Eliel; Sun, Zhelin; Crittenden, Scott; Leverette, Chad L

    2011-03-01

    Silver (Ag) films of varying thickness were simultaneously deposited using physical vapor deposition (PVD) onto six infrared (IR) substrates (BaF(2), CaF(2), Ge, AMTIR, KRS-5, and ZnSe) in order to correlate the morphology of the deposited film with optimal SEIRA response and spectral band symmetry and quality. Significant differences were observed in the surface morphology of the deposited silver films, the degree of enhancement provided, and the spectral appearance of para-nitrobenzoic acid (PNBA) cast films for each silver-coated substrate. These differences were attributed to each substrate's chemical properties, which dictate the morphology of the Ag film and ultimately determine the spectral appearance of the adsorbed analyte and the magnitude of SEIRA enhancement. Routine SEIRA enhancement factors (EFs) for all substrates were between 5 and 150. For single-step Ag depositions, the following ranking identifies the greatest SEIRA enhancement factor and the maximum absorption of the 1345 cm(-1) spectral marker of PNBA at the optimal silver thickness for each substrate: BaF(2) (EF = 85 ± 19, 0.059 A, 10 nm Ag) > CaF(2) (EF = 75 ± 30, 0.052 A, 10 nm Ag) > Ge (EF = 45 ± 8, 0.019 A, 5 nm Ag) > AMTIR (EF = 38 ± 8, 0.024 A, 15 nm Ag) > KRS-5 (EF = 24 ± 1, 0.015 A, 12 nm Ag) > ZnSe (EF = 9 ± 5, 0.008 A, 8 nm Ag). A two-step deposition provides 59% larger EFs than single-step depositions of Ag on CaF(2). A maximum EF of 147 was calculated for a cast film of PNBA (surface coverage = 341 ng/cm(2)) on a 10 nm two-step Ag film on CaF(2) (0.102 A, 1345 cm(-1) symmetric NO(2) stretching band). The morphology of the two-step Ag film has smaller particles and greater particle density than the single-step Ag film.

  8. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  9. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  10. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  11. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  12. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  13. Vapor Phase Polymerization Deposition Conducting Polymer Nanocomposites on Porous Dielectric Surface as High Performance Electrode Materials

    Institute of Scientific and Technical Information of China (English)

    Ya jie Yang; Luning Zhang; Shibin Li; Zhiming Wang; Jianhua Xu; Wenyao Yang; Yadong Jiang

    2013-01-01

    We report chemical vapor phase polymerization(VPP) deposition of poly(3,4-ethylenedioxythiophene)(PEDOT) and PEDOT/graphene on porous dielectric tantalum pentoxide(Ta2O5) surface as cathode films for solid tantalum electrolyte capacitors. The modified oxidant/oxidant-graphene films were first deposited on Ta2O5 by dip-coating, and VPP process was subsequently utilized to transfer oxidant/oxidant-graphene into PEDOT/PEDOT-graphene films. The SEM images showed PEDOT/PEDOT-graphene films was successfully constructed on porous Ta2O5 surface through VPP deposition, and a solid tantalum electrolyte capacitor with conducting polymer-graphene nano-composites as cathode films was constructed. The high conductivity nature of PEDOT-graphene leads to resistance decrease of cathode films and lower contact resistance between PEDOT/graphene and carbon paste. This nano-composite cathode films based capacitor showed ultralow equivalent series resistance(ESR) ca. 12 m? and exhibited excellent capacitance-frequency performance, which can keep 82% of initial capacitance at 500 KHz. The investigation on leakage current revealed that the device encapsulation process has no influence on capacitor leakage current, indicating the excellent mechanical strength of PEDOT/PEDOT-gaphene films. This high conductivity and mechanical strength of graphene-based polymer films shows promising future for electrode materials such as capacitors, organic solar cells and electrochemical energy storage devices.

  14. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  15. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  16. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  17. Microscale interfacial behavior at vapor film collapse on high-temperature particle surface

    International Nuclear Information System (INIS)

    Abe, Yutaka; Tochio, Daisuke

    2009-01-01

    It has been pointed out that vapor film on a premixed high-temperature droplet surface should be collapsed to trigger vapor explosion. Thus, it is important to clarify the micromechanism of vapor film collapse behavior for the occurrence of vapor explosion. In the present study, microscale vapor-liquid interface behavior upon vapor film collapse caused by an external pressure pulse is experimentally observed and qualitatively analyzed. In the analytical investigation, interfacial temperature and interface movement were estimated with heat conduction analysis and visual data processing technique. Results show that condensation can possibly occur at the vapor-liquid interface when the pressure pulse arrived. That is, this result indicates that the vapor film collapse behavior is dominated not by fluid motion but by phase change. (author)

  18. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  19. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    Science.gov (United States)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  20. Surface-driven, one-step chemical vapor deposition of γ-Al{sub 4}Cu{sub 9} complex metallic alloy film

    Energy Technology Data Exchange (ETDEWEB)

    Prud’homme, Nathalie [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France); Université Paris-Sud 11, LEMHE/ICMMO, Bat 410, 91405 Orsay Cedex (France); Duguet, Thomas, E-mail: thomas.duguet@ensiacet.fr [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France); Samélor, Diane; Senocq, François; Vahlas, Constantin [CIRIMAT, Université de Toulouse - CNRS, 4 allée Emile Monso, BP-44362, 31432 Toulouse Cedex 4 (France)

    2013-10-15

    The present paper is a paradigm for the one-step formation of complex intermetallic coatings by chemical vapor deposition. It genuinely addresses the challenge of depositing an intermetallic coating with comparable contents of Cu and Al. Depending on processing conditions, a pure γ-Al{sub 4}Cu{sub 9} and multi-phase Al-Cu films are grown with wetting properties of the former being similar to its bulk counterpart. The deposition process and its parametric investigation are detailed. Two metalorganic precursors are used taking into account their transport and chemical properties, and deposition temperature ranges. On line and ex situ characterizations enlighten the competition which occurs at the growing surface between molecular fragments, and which limits growth rates. Notably, introducing a partial pressure of hydrogen gas during deposition reduces Al growth rate from dimethylethylamine alane (DMEAA), by displacing the hydrogen desorption equilibrium. This Al partial growth rate decrease is not sufficient to achieve a Cu/Al atomic ratio that is high enough for the formation of intermetallics with close Al and Cu compositions. A fivefold increase of the flux of the gaseous copper(I) cyclopentadienyl triethylphosphine CpCuPEt{sub 3}, whereas the DMEAA flux remains constant, results in the targeted Al/Cu atomic ratio equal to 44/56. Nevertheless, the global growth rate is rendered extremely low by the deposition inhibition caused by a massive phosphine adsorption (-PEt{sub 3}). Despite these limitations, the results pave the way towards the conformal coating of complex surface geometries by such intermetallic compounds.

  1. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  2. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  3. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  4. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  5. Low temperature deposition: Properties of SiO{sub 2} films from TEOS and ozone by APCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Juarez, H; Diaz, T; Rosendo, E; Garcia, G; Mora, F; Escalante, G [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur and Av. San Claudio, San Manuel 72000, Puebla (Mexico); Pacio, M; GarcIa, A, E-mail: hjuarez@cs.buap.m [Ingenieria Electrica, Secciaan Electranica del Estado Salido, Centro de Investigacian y de Estudios Avanzados del I. P. N., Av. Instituto Politecnico Nacional 2508, San Pedro Zacatenco, 07360 Mexico, D. F. (Mexico)

    2009-05-01

    An Atmospheric Pressure Chemical Vapor Deposition (APCVD) system was implemented for SiO{sub 2} nanometric films deposition on silicon substrates. Tetraethoxysilane (TEOS) and ozone (O{sub 3}) were used and they were mixed into the APCVD system. The deposition temperatures were very low, from 125 to 250 {sup 0}C and the deposition time ranged from 1 to 15 minutes. The measured thicknesses from the deposited SiO{sub 2} films were between 5 and 300 nm. From the by Fourier-Transform Infrared (FTIR) spectra the typical absorption bands of the Si-O bond were observed and it was also observed a dependence on the vibrational modes corresponding to hydroxyl groups with the deposition temperature where the intensity of these vibrations can be related with the grade porosity grade of the films. Furthermore an analytical model has been evoked to determine the activation energy of the reactions in the surface and the gas phase in the deposit films process.

  6. Rapid growth of diamond-like-carbon films by copper vapor laser ablation

    International Nuclear Information System (INIS)

    McLean, W.; Warner, B.E.; Havstad, M.A.

    1995-04-01

    Visible light from a copper vapor laser (CVL) operating with 510 and 578 nm radiation (intensity ratio approximately 2:1), an average power of 100 W, a pulse duration of 50 ns, and a repetition frequency of 4.4 kHz has been shown to produce high quality diamond-like-carbon (DLC) films at fluences between 2x10 8 and 5x10 10 W/cm 2 . Maximum deposition rates of 2000 μm·cm 2 /h were obtained at 5x10 8 W/cm 2 . DLC films with hardness values of approximately 60 GPa were characterized by a variety of techniques to confirm DLC character, hydrogen content, and surface morphology. The presence of C 2 in the vapor plume was confirmed by the presence of the C 2 Swan bands in emission spectra obtained during the process. Economic implications of process scale-up to industrially meaningful component sizes are presented

  7. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  8. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  9. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  10. Electron microscopy studies of octa-calcium phosphate thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iliescu, Monica; Nelea, V.; Werckmann, J.; Mihailescu, I.N.; Socol, G.; Bigi, Adriana; Bracci, Barbara

    2004-04-01

    Octa-calcium phosphate (OCP), Ca{sub 8}(HPO{sub 4}){sub 2}(PO{sub 4}){sub 4}{center_dot}5H{sub 2}O, is present as transient compound in the precipitation of hydroxyapatite (HA) and biological apatites. Because of these characteristics, OCP plays a crucial role in the in-vivo mineralization of human bones and teeth. The use of OCP in developing new generations of bone prosthesis stands therefore for an innovative challenge. This paper reports studies of OCP structures grown in the form of thin films by pulsed laser deposition (PLD) with emphasis on electron microscopy investigations. OCP films were grown on etched Ti substrates, using an UV KrF* excimer laser source ({lambda}=248 nm, {tau}{>=}20 ns). Films were deposited in low-pressure (50 Pa) water vapors environment on substrates heated at 20-180 deg. C. We performed annealing treatments in water vapors and ambient pressure at substrate temperatures identical to those used during deposition. Comprehensive structural and morphological investigations were carried out with different based-electron microscopy procedures. Grazing incidence X-ray diffraction (GIXRD) and white light confocal microscopy were also applied to characterize the films. Ca/P atomic ratio of films was determined by energy dispersive X-ray spectrometry, electron energy loss spectroscopy and X-ray photoelectron spectroscopy. The obtained films generally exhibit an amorphous structure, as evidenced by GIXRD. Nevertheless, cross-section transmission electron microscopy investigations provide supplementary information about the film characteristics and material crystallization in small domains. OCP nanoparticles coalesce and grow perpendicular to the substrate in a tree-like structure, comparable to a coral reef.

  11. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  12. Study of film boiling collapse behavior during vapor explosion

    International Nuclear Information System (INIS)

    Yagi, Masahiro; Yamano, Norihiro; Sugimoto, Jun; Abe, Yutaka; Adachi, Hiromichi; Kobayashi, Tomoyoshi.

    1996-06-01

    Possible large scale vapor explosions are safety concern in nuclear power plants during severe accident. In order to identify the occurrence of the vapor explosion and to estimate the magnitude of the induced pressure pulse, it is necessary to investigate the triggering condition for the vapor explosion. As a first step of this study, scooping analysis was conducted with a simulation code based on thermal detonation model. It was found that the pressure at the collapse of film boiling much affects the trigger condition of vapor explosion. Based on this analytical results, basic experiments were conducted to clarify the collapse conditions of film boiling on a high temperature solid ball surface. Film boiling condition was established by flooding water onto a high temperature stainless steel ball heated by a high frequency induction heater. After the film boiling was established, the pressure pulse generated by a shock tube was applied to collapse the steam film on the ball surface. As the experimental boundary conditions, materials and size of the balls, magnitude of pressure pulse and initial temperature of the carbon and stainless steel balls were varied. The transients of pressure and surface temperature were measured. It was found that the surface temperature on the balls sharply decreased when the pressure wave passed through the film on balls. Based on the surface temperature behavior, the film boiling collapse pattern was found to be categorized into several types. Especially, the pattern for stainless steel ball was categorized into three types; no collapse, collapse and reestablishment after collapse. It was thus clarified that the film boiling collapse behavior was identified by initial conditions and that the pressure required to collapse film boiling strongly depended on the initial surface temperature. The present results will provide a useful information for the analysis of vapor explosions based on the thermal detonation model. (J.P.N.)

  13. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  14. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  15. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  16. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  17. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  18. CH3NH3I treatment temperature of 70 °C in low-pressure vapor-assisted deposition for mesoscopic perovskite solar cells

    Science.gov (United States)

    Jin, Wenbin; Zou, Xiaoping; Bai, Xiao; Yang, Ying; Chen, Dan

    2018-01-01

    Herein, we report a modified vapor-assisted deposition method to fabricate CH3NH3PbI3 film at 70 °C in a vacuum drying oven. The modified method has excellent operability and expandability in preparing perovskite solar cells. The CH3NH3I treatment temperature is 130 °C or 150 °C in conventional method, but we reduced the temperature to 70 °C in the modified vapor-assisted method. Meanwhile, the quality of CH3NH3PbI3 films prepared via the modified method is superior to that of CH3NH3PbI3 films of solution-processed method.

  19. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  20. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  1. Rapid processing method for solution deposited YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P.

    2004-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm 2 current density (J c ) YBCO films, from multiple hours to ∼20 s in atmospheric pressure air. High quality, ∼0.2 μm thick YBCO films with J c (77 K) values ≥2 MA/cm 2 at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO 3 . This process has also enabled J c (77 K)=1.1 MA/cm 2 YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable ∼$10/kA m solution deposited YBCO coated conductor wires

  2. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  3. Structural, electrical and optical studies of SILAR deposited cadmium oxide thin films: Annealing effect

    International Nuclear Information System (INIS)

    Salunkhe, R.R.; Dhawale, D.S.; Gujar, T.P.; Lokhande, C.D.

    2009-01-01

    Successive ionic layer adsorption and reaction (SILAR) method has been successfully employed for the deposition of cadmium oxide (CdO) thin films. The films were annealed at 623 K for 2 h in an air and changes in the structural, electrical and optical properties were studied. From the X-ray diffraction patterns, it was found that after annealing, H 2 O vapors from as-deposited Cd(O 2 ) 0.88 (OH) 0.24 were removed and pure cubic cadmium oxide was obtained. The as-deposited film consists of nanocrystalline grains of average diameter about 20-30 nm with uniform coverage of the substrate surface, whereas for the annealed film randomly oriented morphology with slight increase in the crystallite size has been observed. The electrical resistivity showed the semiconducting nature with room temperature electrical resistivity decreased from 10 -2 to 10 -3 Ω cm after annealing. The decrease in the band gap energy from 3.3 to 2.7 eV was observed after the annealing

  4. Chemical vapor deposition of hexagonal boron nitride films in the reduced pressure

    International Nuclear Information System (INIS)

    Choi, B.J.

    1999-01-01

    Hexagonal boron nitride (h-BN) films were deposited onto a graphite substrate in reduced pressure by reacting ammonia and boron tribromide at 800--1,200 C. The growth rate of h-BN films was dependent on the substrate temperature and the total pressures. The growth rate increased with increasing the substrate temperature at the pressure of 2 kPa, while it showed a maximum value at the pressures of 4 and 8 kPa. The temperature at which the maximum growth rate occurs decreased with increasing total pressure. With increasing the substrate temperature and total pressure, the apparent grain size increased and the surface morphology showed a rough, cauliflower-like structure

  5. Structural evolution of Ge-rich Si1−xGex films deposited by jet-ICPCVD

    Directory of Open Access Journals (Sweden)

    Yu Wang

    2015-11-01

    Full Text Available Amorphous Ge-rich Si1−xGex films with local Ge-clustering were deposited by dual-source jet-type inductively coupled plasma chemical-vapor deposition (jet-ICPCVD. The structural evolution of the deposited films annealed at various temperatures (Ta is investigated. Experimental results indicate that the crystallization occurs to form Ge and Si clusters as Ta = 500 °C. With raising Ta up to 900 °C, Ge clusters percolate together and Si diffuses and redistributes to form a Ge/SiGe core/shell structure, and some Ge atoms partially diffuse to the surface as a result of segregation. The present work will be helpful in understanding the structural evolution process of a hybrid SiGe films and beneficial for further optimizing the microstructure and properties.

  6. Vapor annealing synthesis of non-epitaxial MgB2 films on glassy carbon

    Science.gov (United States)

    Baker, A. A.; Bayu Aji, L. B.; Bae, J. H.; Stavrou, E.; Steich, D. J.; McCall, S. K.; Kucheyev, S. O.

    2018-05-01

    We describe the fabrication and characterization of 25–800 nm thick MgB2 films on glassy carbon substrates by Mg vapor annealing of sputter-deposited amorphous B films. Results demonstrate a critical role of both the initial B film thickness and the temperature–time profile on the microstructure, elemental composition, and superconducting properties of the resultant MgB2 films. Films with thicknesses of 55 nm and below exhibit a smooth surface, with a roughness of 1.1 nm, while thicker films have surface morphology consisting of elongated nano-crystallites. The suppression of the superconducting transition temperature for thin films scales linearly with the oxygen impurity concentration and also correlates with the amount of lattice disorder probed by Raman scattering. The best results are obtained by a rapid (12 min) anneal at 850 °C with large temperature ramp and cooling rates of ∼540 °C min‑1. Such fast processing suppresses the deleterious oxygen uptake.

  7. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  8. Nanostructured Thin Film Synthesis by Aerosol Chemical Vapor Deposition for Energy Storage Applications

    Science.gov (United States)

    Chadha, Tandeep S.

    Renewable energy sources offer a viable solution to the growing energy demand while mitigating concerns for greenhouse gas emissions and climate change. This has led to a tremendous momentum towards solar and wind-based energy harvesting technologies driving efficiencies higher and costs lower. However, the intermittent nature of these energy sources necessitates energy storage technologies, which remain the Achilles heel in meeting the renewable energy goals. This dissertation focusses on two approaches for addressing the needs of energy storage: first, targeting direct solar to fuel conversion via photoelectrochemical water-splitting and second, improving the performance of current rechargeable batteries by developing new electrode architectures and synthesis processes. The aerosol chemical vapor deposition (ACVD) process has emerged as a promising single-step approach for nanostructured thin film synthesis directly on substrates. The relationship between the morphology and the operating parameters in the process is complex. In this work, a simulation based approach has been developed to understand the relationship and acquire the ability of predicting the morphology. These controlled nanostructured morphologies of TiO2 , compounded with gold nanoparticles of various shapes, are used for solar water-splitting applications. Tuning of light absorption in the visible-light range along with reduced electron-hole recombination in the composite structures has been demonstrated. The ACVD process is further extended to a novel single-step synthesis of nanostructured TiO2 electrodes directly on the current collector for applications as anodes in lithium-ion batteries, mainly for electric vehicles and hybrid electric vehicles. The effect of morphology of the nanostructures has been investigated via experimental studies and electrochemical transport modelling. Results demonstrate the exceptional performance of the single crystal one-dimensional nanostructures over granular

  9. Vapor transport deposition of large-area polycrystalline CdTe for radiation image sensor application

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Keedong; Cha, Bokyung; Heo, Duchang; Jeon, Sungchae [Korea Electrotechnology Research Institute, 111 Hanggaul-ro, Ansan-si, Gyeonggi-do 426-170 (Korea, Republic of)

    2014-07-15

    Vapor transport deposition (VTD) process delivers saturated vapor to substrate, resulting in high-throughput and scalable process. In addition, VTD can maintain lower substrate temperature than close-spaced sublimation (CSS). The motivation of this work is to adopt several advantages of VTD for radiation image sensor application. Polycrystalline CdTe films were obtained on 300 mm x 300 mm indium tin oxide (ITO) coated glass. The polycrystalline CdTe film has columnar structure with average grain size of 3 μm ∝ 9 μm, which can be controlled by changing the substrate temperature. In order to analyze electrical and X-ray characteristics, ITO-CdTe-Al sandwich structured device was fabricated. Effective resistivity of the polycrystalline CdTe film was ∝1.4 x 10{sup 9}Ωcm. The device was operated under hole-collection mode. The responsivity and the μτ product estimated to be 6.8 μC/cm{sup 2}R and 5.5 x 10{sup -7} cm{sup 2}/V. The VTD can be a process of choice for monolithic integration of CdTe thick film for radiation image sensor and CMOS/TFT circuitry. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  11. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-04-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature.

  12. Vapor phase polymerization deposition of conducting polymer/graphene nanocomposites as high performance electrode materials.

    Science.gov (United States)

    Yang, Yajie; Li, Shibin; Zhang, Luning; Xu, Jianhua; Yang, Wenyao; Jiang, Yadong

    2013-05-22

    In this paper, we report chemical vapor phase polymerization (VPP) deposition of novel poly(3,4-ethylenedioxythiophene) (PEDOT)/graphene nanocomposites as solid tantalum electrolyte capacitor cathode films. The PEDOT/graphene films were successfully prepared on porous tantalum pentoxide surface as cathode films through the VPP procedure. The results indicated that the high conductivity nature of PEDOT/graphene leads to the decrease of cathode films resistance and contact resistance between PEDOT/graphene and carbon paste. This nanocomposite cathode film based capacitor showed ultralow equivalent series resistance (ESR) ca. 12 mΩ and exhibited better capacitance-frequency performance than the PEDOT based capacitor. The leakage current investigation revealed that the device encapsulation process does not influence capacitor leakage current, indicating the excellent mechanical strength of PEDOT-graphene films. The graphene showed a distinct protection effect on the dielectric layer from possible mechanical damage. This high conductivity and mechanical strength graphene based conducting polymer nanocomposites indicated a promising application future for organic electrode materials.

  13. Control of composition and crystallinity in hydroxyapatite films deposited by electron cyclotron resonance plasma sputtering

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-01-01

    Hydroxyapatite (HAp) films were deposited by electron cyclotron resonance plasma sputtering under a simultaneous flow of H2O vapor gas. Crystallization during sputter-deposition at elevated temperatures and solid-phase crystallization of amorphous films were compared in terms of film properties. When HAp films were deposited with Ar sputtering gas at temperatures above 460 °C, CaO byproducts precipitated with HAp crystallites. Using Xe instead of Ar resolved the compositional problem, yielding a single HAp phase. Preferentially c-axis-oriented HAp films were obtained at substrate temperatures between 460 and 500 °C and H2O pressures higher than 1×10-2 Pa. The absorption signal of the asymmetric stretching mode of the PO43- unit (ν3) in the Fourier-transform infrared absorption (FT-IR) spectra was the narrowest for films as-crystallized during deposition with Xe, but widest for solid-phase crystallized films. While the symmetric stretching mode of PO43- (ν1) is theoretically IR-inactive, this signal emerged in the FT-IR spectra of solid-phase crystallized films, but was absent for as-crystallized films, indicating superior crystallinity for the latter. The Raman scattering signal corresponding to ν1 PO43- sensitively reflected this crystallinity. The surface hardness of as-crystallized films evaluated by a pencil hardness test was higher than that of solid-phase crystallized films.

  14. Stoichiometric control for heteroepitaxial growth of smooth ɛ-Ga2O3 thin films on c-plane AlN templates by mist chemical vapor deposition

    Science.gov (United States)

    Tahara, Daisuke; Nishinaka, Hiroyuki; Morimoto, Shota; Yoshimoto, Masahiro

    2017-07-01

    Epitaxial ɛ-Ga2O3 thin films with smooth surfaces were successfully grown on c-plane AlN templates by mist chemical vapor deposition. Using X-ray diffraction 2θ-ω and φ scans, the out-of-plane and in-plane epitaxial relationship was determined to be (0001) ɛ-Ga2O3 [10\\bar{1}0] ∥ (0001)AlN[10\\bar{1}0]. The gallium/oxygen ratio was controlled by varying the gallium precursor concentration in the solution. While scanning electron microscopy showed the presence of large grains on the surfaces of the films formed for low concentrations of oxygen species, no large grains were observed under stoichiometric conditions. Cathodoluminescence measurements showed a deep-level emission ranging from 1.55-3.7 eV; however, no band-edge emission was observed.

  15. Thermoelectric prospects of chemically deposited PbSe and SnSe thin films

    Science.gov (United States)

    Nair, P. K.; Martínez, Ana Karen; Rosa García Angelmo, Ana; Barrios Salgado, Enue; Nair, M. T. S.

    2018-03-01

    Thin films of PbSe of 400-600 nm in thickness, were obtained via chemical deposition from a solution containing lead nitrate, thiourea and selenosufate. SnSe thin films of 90-180 nm in thickness, were also obtained by chemical deposition from a solution containing selenosulfate. Optical and electrical properties of these thin films were significantly altered by heating them in selenium vapor at 300 °C. Thin film PbSe has a bandgap (Eg) of 1.17 eV (direct gap, forbidden transitions), which decreases to 0.77 eV when it has been heated. Its electrical conductivity (σ) is p-type: 0.18 Ω-1 cm-1 (as-prepared), and 6.4 Ω-1 cm-1 when heated. Thin film SnSe is of orthorhombic crystalline structure which remains stable when heated at 300 °C, but its Eg increases from 1.12 eV (indirect) in as-prepared film to 1.5 eV (direct, forbidden transitions) upon heating. Its electrical conductivity is p-type, which increases from 0.3 Ω-1 cm-1 (as-prepared) to 1 Ω-1 cm-1 when heated (without Se-vapor). When SnSe film is heated at 300 °C in the presence of Se-vapor, they transform to SnSe2, with Eg of 1.5 eV (direct, forbidden) with n-type electrical conductivity, 11 Ω-1 cm-1. The Seebeck coefficient for the PbSe films is: +0.55 mV K-1 (as prepared) and +0.275 mV K-1 (heated); for SnSe films it is: +0.3 mV K-1 (as prepared) and +0.20 mV K-1 (heated); and for SnSe2 film, - 0.35 mV K-1. A five-element PbSe-SnSe2-PbSe-SnSe2-PbSe thermoelectric device demonstrated 50 mV for a temperature difference ΔT = 20 °C (2.5 mV K-1). For SnSe-SnSe2-SnSe-SnSe2-SnSe device, the value is 15 mV for ΔT = 20 °C (0.75 mV K-1). Prospect of these thin films in thermoelectric devices of hybrid materials, in which the coatings may be applied on distinct substrate and geometries is attractive.

  16. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  17. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  18. Study on the effect of subcooling on vapor film collapse on high temperature particle surface

    International Nuclear Information System (INIS)

    Abe, Yutaka; Tochio, Daisuke; Yanagida, Hiroshi

    2000-01-01

    Thermal detonation model is proposed to describe vapor explosion. According to this model, vapor film on pre-mixed high temperature droplet surface is needed to be collapsed for the trigger of the vapor explosion. It is pointed out that the vapor film collapse behavior is significantly affected by the subcooling of low temperature liquid. However, the effect of subcooling on micro-mechanism of vapor film collapse behavior is not experimentally well identified. The objective of the present research is to experimentally investigate the effect of subcooling on micro-mechanism of film boiling collapse behavior. As the results, it is experimentally clarified that the vapor film collapse behavior in low subcooling condition is qualitatively different from the vapor film collapse behavior in high subcooling condition. In case of vapor film collapse by pressure pulse, homogeneous vapor generation occurred all over the surface of steel particle in low subcooling condition. On the other hand, heterogeneous vapor generation was observed for higher subcooling condition. In case of vapor film collapse spontaneously, fluctuation of the gas-liquid interface after quenching propagated from bottom to top of the steel particle heterogeneously in low subcooling condition. On the other hand, simultaneous vapor generation occurred for higher subcooling condition. And the time transient of pressure, particle surface temperature, water temperature and visual information were simultaneously measured in the vapor film collapse experiment by external pressure pulse. Film thickness was estimated by visual data processing technique with the pictures taken by the high-speed video camera. Temperature and heat flux at the vapor-liquid interface were estimated by solving the heat condition equation with the measured pressure, liquid temperature and vapor film thickness as boundary conditions. Movement of the vapor-liquid interface were estimated with the PIV technique with the visual observation

  19. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; Lee, Namhoon; Wolak, Matthäus A.; Tan, Teng; Welander, Paul B.; Franzi, Matthew; Tantawi, Sami; Kustom, Robert L.

    2017-02-16

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg–Cu alloy layer with occasional intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.

  20. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; Lee, Namhoon; Wolak, Matthäus A.; Tan, Teng; Welander, Paul B.; Franzi, Matthew; Tantawi, Sami; Kustom, Robert L.

    2017-04-01

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20-25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical-chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg-Cu alloy layer with occasional intrusion of Mg-Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.

  1. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    Science.gov (United States)

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  2. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  3. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  4. Analysis of Vegard’s law for lattice matching In x Al 1−x N to GaN by metalorganic chemical vapor deposition

    KAUST Repository

    Foronda, Humberto M.

    2017-06-19

    Coherent InxAl1−xN (x = 0.15 to x = 0.28) films were grown by metalorganic chemical vapor deposition on GaN templates to investigate if the films obey Vegard’s Law by comparing the film stress-thickness product from wafer curvature before and after InxAl1−xN deposition. The In composition and film thickness were verified using atom probe tomography and high resolution X-ray diffraction, respectively. Ex-situ curvature measurements were performed to analyze the curvature before and after the InxAl1−xN deposition. At ∼In0.18Al0.82N, no change in curvature was observed following InAlN deposition; confirming that films of this composition are latticed matched to GaN, obeying Vegard’s law. The relaxed a0- and c0- lattice parameters of InxAl1−xN were experimentally determined and in agreement with lattice parameters predicted by Vegard’s law.

  5. Analysis of Vegard’s law for lattice matching In x Al 1−x N to GaN by metalorganic chemical vapor deposition

    KAUST Repository

    Foronda, Humberto M.; Mazumder, Baishakhi; Young, Erin C.; Laurent, Matthew A.; Li, Youli; DenBaars, Steven P.; Speck, James S.

    2017-01-01

    Coherent InxAl1−xN (x = 0.15 to x = 0.28) films were grown by metalorganic chemical vapor deposition on GaN templates to investigate if the films obey Vegard’s Law by comparing the film stress-thickness product from wafer curvature before and after InxAl1−xN deposition. The In composition and film thickness were verified using atom probe tomography and high resolution X-ray diffraction, respectively. Ex-situ curvature measurements were performed to analyze the curvature before and after the InxAl1−xN deposition. At ∼In0.18Al0.82N, no change in curvature was observed following InAlN deposition; confirming that films of this composition are latticed matched to GaN, obeying Vegard’s law. The relaxed a0- and c0- lattice parameters of InxAl1−xN were experimentally determined and in agreement with lattice parameters predicted by Vegard’s law.

  6. Synthesis and optical properties of BC{sub x}N{sub y} films deposited from N-triethylborazine and hydrogen mixture

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry, SB RAS, 630090 Novosibirsk (Russian Federation); Rumyantsev, Yurii M. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry, SB RAS, 630090 Novosibirsk (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics, SB RAS, 630090 Novosibirsk (Russian Federation); Kosinova, Marina L. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry, SB RAS, 630090 Novosibirsk (Russian Federation)

    2015-04-30

    BC{sub x}N{sub y} films were obtained by plasma enhanced chemical vapor deposition method using a single-source precursor N-triethylborazine and hydrogen as plasma activating gas. The effect of synthesis temperature on the chemical composition and properties of the BC{sub x}N{sub y} films was investigated. The BC{sub x}N{sub y} films were examined by scanning electron microscopy, Fourier transform infrared and Raman spectroscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and ellipsometry and spectrophotometry techniques. These experimental results indicated that the BC{sub x}N{sub y} films produced at low temperatures (T{sub dep} ≤ 673 K) are the polymer-like hydrogenated films with high transparency up to 93% in the visible part of the spectrum. BC{sub x}N{sub y} films produced at high temperatures (> 673 K) contain additional phase of disordered carbon which has dramatically reduce transparency. The band gap of the films varied from 0.6 to 4.5 eV, with variation in deposition temperature. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-triethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • Optical band gap of the BC{sub x}N{sub y} layers varied from 0.6 to 4.5 eV.

  7. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  8. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  9. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  10. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  11. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  12. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  13. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  14. Structural and optical properties of tin (II) sulfide thin films deposited using organophosphorus precursor (Ph3PS)

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-02-01

    Tin sulfide (SnS) thin films have been deposited onto glass substrates using triphenylphosphine sulfide (Ph3PS) as a sulfur precursor in a chemical vapor deposition reactor in a temperature range of 250 °C-400 °C. The influence of the sulphidisation temperature in the crystal structure, surface morphology, chemical composition and optical properties has been investigated. X-ray diffraction, energy dispersive analysis of x-rays, and Raman spectroscopy showed that pure SnS thin films have been successfully obtained at 250 °C. All the deposited films were polycrystalline and showed orthorhombic structure, with a preferential orientation according to the direction . The optical measurements showed that the films deposited exhibited a direct allowed transition and have a relatively high absorption coefficient. The presence of mixed tin sulfide phases granted by the variation of the sulphidisation temperature has affected the optical properties of the deposited films. The refractive index (n) and extinction coefficient (k), has low values compared to conventional semiconductor materials. The grown films can be considered as a good light absorbing material and a promising candidate for application in optoelectronic devices.

  15. Investigation of thin film deposition on stainless steel 304 substrates under different operating conditions

    International Nuclear Information System (INIS)

    Chowdhury, M A; Nuruzzaman, D M

    2016-01-01

    In recent times, friction and wear in relation to the deposited carbon films on the steel substrates are important issues for industrial applications. In this research study, solid thin films were deposited on the stainless steel 304 (SS 304) substrates under different operating conditions. In the experiments, natural gas (97.14% methane) was used as a precursor gas in a hot filament thermal chemical vapor deposition (CVD) reactor. Deposition rates on SS 304 substrates were investigated under gas flow rates 0.5 - 3.0 l/min, pressure 20 - 50 torr, gap between activation heater and substrate 3.0 - 6.0 mm and deposition duration 30 - 120 minutes. The obtained results show that there are significant effects of these parameters on the deposition rates on SS 304 within the observed range. Friction coefficient of SS 304 sliding against SS 314 was also investigated under normal loads 5 - 10 N and sliding velocities 0.5 - m/s before and after deposition. The experimental results reveal that in general, frictional values are lower after deposition than that of before deposition. (paper)

  16. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  17. Diamond films: Historical perspective

    Energy Technology Data Exchange (ETDEWEB)

    Messier, R. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This section is a compilation of notes and published international articles about the development of methods of depositing diamond films. Vapor deposition articles are included from American, Russian, and Japanese publications. The international competition to develop new deposition methodologies is stressed. The current status of chemical vapor deposition of diamond is assessed.

  18. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  19. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy and Environmental Engineering, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do 483-777 (Korea, Republic of); Hudaya, Chairul [Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Kampus Baru UI, Depok 16424 (Indonesia); Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, including a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.

  20. Physical and photoelectrochemical properties of Sb-doped SnO2 thin films deposited by chemical vapor deposition: application to chromate reduction under solar light

    Science.gov (United States)

    Outemzabet, R.; Doulache, M.; Trari, M.

    2015-05-01

    Sb-doped SnO2 thin films (Sb-SnO2) are prepared by chemical vapor deposition. The X-ray diffraction indicates a rutile phase, and the SEM analysis shows pyramidal grains whose size extends up to 200 nm. The variation of the film thickness shows that the elaboration technique needs to be optimized to give reproducible layers. The films are transparent over the visible region. The dispersion of the optical indices is evaluated by fitting the diffuse reflectance data with the Drude-Lorentz model. The refractive index ( n) and absorption coefficient ( k) depend on both the conditions of preparation and of the doping concentration and vary between 1.4 and 2.0 and 0.2 and 0.01, respectively. Tin oxide is nominally non-stoichiometric, and the conduction is dominated by thermally electrons jump with an electron mobility of 12 cm2 V-1 s-1 for Sb-SnO2 (1 %). The ( C 2- V) characteristic in aqueous electrolyte exhibits a linear behavior from which an electrons density of 4.15 × 1018 cm-3 and a flat-band potential of -0.83 V SCE are determined. The electrochemical impedance spectroscopy shows a semicircle attributed to a capacitive behavior with a low density of surface states. The center lies below the real axis with a depletion angle (12°), due to a constant phase element, i.e., a deviation from a pure capacitive behavior, presumably attributed to the roughness and porosity of the film. The straight line at low frequencies is attributed to the Warburg diffusion. The energy diagram reveals the photocatalytic feasibility of Sb-SnO2. As application, 90 % of the chromate concentration (20 mg L-1, pH ~3) disappears after 6 h of exposure to solar light.

  1. Atmospheric-Pressure-Spray, Chemical- Vapor-Deposited Thin-Film Materials Being Developed for High Power-to- Weight-Ratio Space Photovoltaic Applications

    Science.gov (United States)

    Hepp, Aloysius F.; Harris, Jerry D.; Raffaelle, Ryne P.; Banger, Kulbinder K.; Smith, Mark A.; Cowen, Jonathan E.

    2001-01-01

    The key to achieving high specific power (watts per kilogram) space photovoltaic arrays is the development of high-efficiency thin-film solar cells that are fabricated on lightweight, space-qualified substrates such as Kapton (DuPont) or another polymer film. Cell efficiencies of 20 percent air mass zero (AM0) are required. One of the major obstacles to developing lightweight, flexible, thin-film solar cells is the unavailability of lightweight substrate or superstrate materials that are compatible with current deposition techniques. There are two solutions for working around this problem: (1) develop new substrate or superstrate materials that are compatible with current deposition techniques, or (2) develop new deposition techniques that are compatible with existing materials. The NASA Glenn Research Center has been focusing on the latter approach and has been developing a deposition technique for depositing thin-film absorbers at temperatures below 400 C.

  2. Growth and characterization of high-Tc Y1Ba2Cu3O7-x superconducting thin films by chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, A.

    1992-01-01

    In chapter I, the current status of high-Tc superconductors (especially Y 1 Ba 2 Cu 3 O 7-x ), their microstructures and their unique physical properties are reviewed. An introduction to the potential and importance of those high-Tc superconductors in practical applications, especially for the application of YBCO thin films in microelectronics, is given. A general description of the common YBCO thin film fabrication and characterization techniques is also presented in this first chapter. Chapter II describes a new CVD process, temperature-controlled chemical vapor deposition (TC-CVD) for the growth of YBCO superconducting thin films on substrates of practical importance, such as sapphire (Al 2 O 3 ) and on substrates of lattice matched perovskite-type single crystals, such as LaAlO 3 . In order to verify the viability of this new CVD process the qualities of YBCO superconducting thin films were examined by various characterization methods, such as resistivity vs. temperature (R vs. T), scanning electron microscopy (SEM), X-ray diffraction (XRD), and magnetic susceptibility (x) measurements. Chapter III deals with the effect of substrate temperature on the properties of YBCO thin films made by TC-CVD. The principle objective of this study is to raise the transition temperature and critical current densities of CVD YBCO superconducting thin films. Understanding the relations between YBCO film growth process and varying substrate temperatures proved to be crucial in reaching this goal. The authors present the characterization results of YBCO thin films produced by different temperature schemes, to illustrate the importance of varying substrate temperature during the film growth. In chapter IV, the Rutherford backscattering (RBS) channeling technique is described. They have used RBS channeling to characterize the epitaxial YBCO thin film's crystallinity and lattice alignment. Transmission electron microscopy studies are also included

  3. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  4. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  5. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  6. Low temperature metalorganic chemical vapor deposition of gallium nitride using dimethylhydrazine as nitrogen source

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Y.J.; Hong, L.S.; Huang, K.F.; Tsay, J.E

    2002-11-01

    Gallium nitride (GaN) films have been homoepitaxially grown by low pressure metalorganic chemical vapor deposition technique using dimethylhydrazine (DMHy) and trimethylgallium (TMG) as the reactants at low temperatures ranging from 873 to 923 K and a constant pressure of 10 Torr. The potential of utilizing DMHy as a nitrogen source is evaluated through understanding the kinetics of GaN film growth. A growth rate dependency study with respect to DMHy and TMG concentrations indicates that Langmuir-Hinshelwood typed reaction dominates the film growth. From a model fitting to the experimental film growth rate, the adsorption equilibrium constant of DMHy is found to be approximately 1/20 that of TMG, indicating that V/III feed ratio can be reduced down to 20 to obtain a stoichiometric GaN film. Based on X-ray photoelectron spectroscope measurement, the films formed by DMHy, however, accompany significant carbon contamination due to the strong C-N bonding in DMHy. The contamination can be relieved effectively by introducing H{sub 2} into the reaction.

  7. Low temperature metalorganic chemical vapor deposition of gallium nitride using dimethylhydrazine as nitrogen source

    International Nuclear Information System (INIS)

    Hsu, Y.J.; Hong, L.S.; Huang, K.F.; Tsay, J.E.

    2002-01-01

    Gallium nitride (GaN) films have been homoepitaxially grown by low pressure metalorganic chemical vapor deposition technique using dimethylhydrazine (DMHy) and trimethylgallium (TMG) as the reactants at low temperatures ranging from 873 to 923 K and a constant pressure of 10 Torr. The potential of utilizing DMHy as a nitrogen source is evaluated through understanding the kinetics of GaN film growth. A growth rate dependency study with respect to DMHy and TMG concentrations indicates that Langmuir-Hinshelwood typed reaction dominates the film growth. From a model fitting to the experimental film growth rate, the adsorption equilibrium constant of DMHy is found to be approximately 1/20 that of TMG, indicating that V/III feed ratio can be reduced down to 20 to obtain a stoichiometric GaN film. Based on X-ray photoelectron spectroscope measurement, the films formed by DMHy, however, accompany significant carbon contamination due to the strong C-N bonding in DMHy. The contamination can be relieved effectively by introducing H 2 into the reaction

  8. Properties of tungsten films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Ahn, K.Y.; Ting, C.Y.; Brodsky, S.B.; Fryer, P.M.; Davari, B.; Angillelo, J.; Herd, S.R.; Licata, T.

    1986-01-01

    High-rate magnetron sputtering is a relatively simple process to produce tungsten films with good electrical and mechanical properties, and it offers good uniformity, reproducibility, process flexibility, and high throughput. The purity of the sputtered films is affected by the target purity (cold-pressed 99.95%, chemical vapor deposited 99.99% and cast 99.999%), base pressure, deposition rate, and substrate bias. Typical resistivity in films of 2000 to 3000A thickness deposited on Si, poly-Si, and SiO/sub 2/ ranges from 10 to 12 μΩ-cm, and this may be compared with 6 and 11 μΩ-cm by high-temperature evaporation and chemical vapor deposition, respectively. The presence of biaxial stress caused by substrate scanning was determined by x-ray technique. The sputtered films exhibit high compressive stress when deposited at low Ar pressure. It decreases with increasing pressure, and eventually changes sign to become tensile, and increases further with increasing pressure. Effects of processing parameters on films properties, and a comparison of film properties prepared by evaporation and chemical vapor deposition are discussed

  9. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  10. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  11. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  12. Thin films of hydrogenated amorphous carbon (a-C:H) obtained through chemical vapor deposition assisted by plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.; Camps C, E.E.; Escobar A, L.; Romero H, S.; Chirino O, S.; Muhl S, S.

    2004-01-01

    Films of hydrogenated amorphous carbon (a-C:H) were deposited using one source of microwave plasma with magnetic field (type ECR), using mixtures of H 2 /CH 4 in relationship of 80/20 and 95/05 as precursory gases, with work pressures of 4X10 -4 to 6x10 -4 Torr and an incident power of the discharge of microwaves with a constant value of 400 W. It was analyzed the influence among the properties of the films, as the deposit rate, the composition and the bonding types, and the deposit conditions, such as the flow rates of the precursory gases and the polarization voltage of the sample holders. (Author)

  13. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  14. Room temperature deposition of crystalline indium tin oxide films by cesium-assisted magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Deuk Yeon; Baik, Hong-Koo

    2008-01-01

    Indium tin oxide (ITO) films were deposited on a Si (1 0 0) substrate at room temperature by cesium-assisted magnetron sputtering. Including plasma characteristics, the structural, electrical, and optical properties of deposited films were investigated as a function of cesium partial vapor pressure controlled by cesium reservoir temperature. We calculated the cesium coverage on the target surface showing maximum formation efficiency of negative ions by means of the theoretical model. Cesium addition promotes the formation efficiency of negative ions, which plays important role in enhancing the crystallinity of ITO films. In particular, the plasma density was linearly increased with cesium concentrations. The resultant decrease in specific resistivity and increase in transmittance (82% in the visible region) at optimum cesium concentration (4.24 x 10 -4 Ω cm at 80 deg. C of reservoir temperature) may be due to enhanced crystallinity of ITO films. Excess cesium incorporation into ITO films resulted in amorphization of its microstructure leading to degradation of ITO crystallinity. We discuss the cesium effects based on the growth mechanism of ITO films and the plasma density

  15. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  17. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  18. Structural properties of nitrogenated amorphous carbon films: Influence of deposition temperature and radiofrequency discharge power

    International Nuclear Information System (INIS)

    Lazar, G.; Bouchet-Fabre, B.; Zellama, K.; Clin, M.; Ballutaud, D.; Godet, C.

    2008-01-01

    The structural properties of nitrogenated amorphous carbon deposited by radiofrequency magnetron sputtering of graphite in pure N 2 plasma are investigated as a function of the substrate temperature and radiofrequency discharge power. The film composition is derived from x-ray photoemission spectroscopy, nuclear reaction analysis and elastic recoil detection measurements and the film microstructure is discussed using infrared, Raman, x-ray photoemission and near edge x-ray absorption fine structure spectroscopic results. At low deposition temperature and low radiofrequency power, the films are soft, porous, and easily contaminated with water vapor and other atmospheric components. The concentration of nitrogen in the films is very large for low deposition temperatures (∼33.6 at. % N at 150 deg. C) but decreases strongly when the synthesis temperature increases (∼15 at. % N at 450 deg. C). With increasing deposition temperature and discharge power values, the main observed effects in amorphous carbon nitride alloys are a loss of nitrogen atoms, a smaller hydrogen and oxygen contamination related to the film densification, an increased order of the aromatic sp 2 phase, and a strong change in the nitrogen distribution within the carbon matrix. Structural changes are well correlated with modifications of the optical and transport properties

  19. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    Science.gov (United States)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  20. Microstructure Related Characterization of a-Si:H Thin Films PECVD Deposited under Varied Hydrogen Dilution

    Directory of Open Access Journals (Sweden)

    Veronika Vavrunkova

    2007-01-01

    Full Text Available We report on the structure and optical properties of hydrogenated silicon thin films deposited by plasma - enhanced chemical vapor deposition (PECVD from silane diluted with hydrogen in a wide dilution range. The samples deposited with dilutions below 30 were detected as amorphous hydrogenated silicon (a-Si:H with crystalline grains of several nanometers in size which represent the medium-range order of a-Si:H. The optical characterization confirmed increasing ordering with the increasing dilution. The optical band gap was observed to be increasing function of the dilution.

  1. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  2. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  3. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  4. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor

    Science.gov (United States)

    Efremov, Mikhail Yu.; Nealey, Paul F.

    2018-05-01

    An environmental chamber equipped with an in situ spectroscopic ellipsometer, programmatic vapor pressure control, and variable temperature substrate holder has been designed for studying polymer coating behavior during an exposure to a solvent vapor and also for probing the residual solvent in the film afterwards. Both sorption-desorption cycle at a constant temperature and temperature programmed desorption (TPD) of the residual solvent manifest themselves as a change of the film thickness. Monitoring of ellipsometric angles of the coating allows us to determine the thickness as a function of the vapor pressure or sample temperature. The solvent vapor pressure is precisely regulated by a computer-controlled pneumatics. TPD spectra are recorded during heating of the film in an oil-free vacuum. The vapor pressure control system is described in detail. The system has been tested on 6-170 nm thick polystyrene, poly(methyl methacrylate), and poly(2-vinyl pyridine) films deposited on silicon substrates. Liquid toluene, water, ethanol, isopropanol, cyclohexane, 1,2-dichloroethane, and chlorobenzene were used to create a vapor atmosphere. Typical sorption-desorption and TPD curves are shown. The instrument achieves sub-monolayer sensitivity for adsorption studies on flat surfaces. Polymer-solvent vapor systems with strong interaction demonstrate characteristic absorption-desorption hysteresis spanning from vacuum to the glass transition pressure. Features on the TPD curves can be classified as either glass transition related film contraction or low temperature broad contraction peak. Typical absorption-desorption and TPD dependencies recorded for the 6 nm thick polystyrene film demonstrate the possibility to apply the presented technique for probing size effects in extremely thin coatings.

  5. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  6. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    Science.gov (United States)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  7. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  8. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  9. Rapid processing method for solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P

    2004-02-01

    YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm{sup 2} current density (J{sub c}) YBCO films, from multiple hours to {approx}20 s in atmospheric pressure air. High quality, {approx}0.2 {mu}m thick YBCO films with J{sub c} (77 K) values {>=}2 MA/cm{sup 2} at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO{sub 3}. This process has also enabled J{sub c} (77 K)=1.1 MA/cm{sup 2} YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable {approx}$10/kA m solution deposited YBCO coated conductor wires.

  10. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  11. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  12. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  13. Modeling of metal thin film growth: Linking angstrom-scale molecular dynamics results to micron-scale film topographies

    Science.gov (United States)

    Hansen, U.; Rodgers, S.; Jensen, K. F.

    2000-07-01

    A general method for modeling ionized physical vapor deposition is presented. As an example, the method is applied to growth of an aluminum film in the presence of an ionized argon flux. Molecular dynamics techniques are used to examine the surface adsorption, reflection, and sputter reactions taking place during ionized physical vapor deposition. We predict their relative probabilities and discuss their dependence on energy and incident angle. Subsequently, we combine the information obtained from molecular dynamics with a line of sight transport model in a two-dimensional feature, incorporating all effects of reemission and resputtering. This provides a complete growth rate model that allows inclusion of energy- and angular-dependent reaction rates. Finally, a level-set approach is used to describe the morphology of the growing film. We thus arrive at a computationally highly efficient and accurate scheme to model the growth of thin films. We demonstrate the capabilities of the model predicting the major differences on Al film topographies between conventional and ionized sputter deposition techniques studying thin film growth under ionized physical vapor deposition conditions with different Ar fluxes.

  14. Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.

    Science.gov (United States)

    Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V

    2012-06-01

    Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.

  15. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  16. Mechanical and tribological properties of silicon nitride films synthesized by ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Chen Yuanru; Li Shizhuo; Zhang Xushou; Liu Hong; Yang Genqing; Qu Baochun

    1991-01-01

    This article describes preliminary investigations of mechanical and tribological properties of silicon nitride film formed by ion beam enhanced deposition (IBED) on GH37 (Ni-based alloys) steel. The films were synthesized by silicon vapor deposition with a rate of 1 A/s and by 40 keV nitrogen ion bombardment simultaneously. The thickness of the film was about 5000 A. X-ray photoelectron spectroscopy and infrared absorption spectroscopy revealed that a stoichiometric Si 3 N 4 film was formed. The observation of TEM showed that the IBED Si 3 N 4 film normally had an amorphous structure. However, electron diffraction patterns revealed a certain crystallinity. The mechanical and tribological properties of the films were investigated with a scratch tester, microhardness meter, and a ball-on-disc tribometer respectively. Results show that the adhesive strength between film and substrate is about 51 N, the Vickers microhardness with a load of 0.2 N is 980, the friction coefficient measured for steel against silicon nitride film ranges from 0.1 to 0.15, and the wear rate of coatings is about 6.8x10 -5 mm 3 /(mN). Finally, the relationship among thermal annealing, crystallinity and tribological characteristics of the Si 3 N 4 film is discussed. (orig.)

  17. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yan Ce [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Zhou, Z.F. [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Chong, Y.M.; Liu, C.P.; Liu, Z.T. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Li, K.Y., E-mail: mekyli@cityu.edu.h [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Bello, I., E-mail: apibello@cityu.edu.h [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Kutsay, O.; Zapien, J.A.; Zhang, W.J. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong)

    2010-07-30

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm{sup 2} while the power density of each boron target was maintained at 2 W/cm{sup 2}. Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness ({approx} 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  18. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    International Nuclear Information System (INIS)

    Yan Ce; Zhou, Z.F.; Chong, Y.M.; Liu, C.P.; Liu, Z.T.; Li, K.Y.; Bello, I.; Kutsay, O.; Zapien, J.A.; Zhang, W.J.

    2010-01-01

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm 2 while the power density of each boron target was maintained at 2 W/cm 2 . Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness (∼ 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  19. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  20. YSZ thin films deposited on NiO-CSZ anodes by pulsed injection MOCVD for intermediate temperature-SOFC applications

    International Nuclear Information System (INIS)

    Garcia, G.; Pardo, J.A.; Santiso, J.; Merino, R.I.; Orera, V.M.; Larrea, A.; Pena, J.I.; Laguna-Bercero, M.A.; Figueras, A.

    2004-01-01

    Yttria-stabilized zirconia (YSZ) films are prepared on NiO-CaSZ by PIMOCVD (pulsed injection metal organic chemical vapor deposition). High quality, 5 to 10 μm thick, totally dense YSZ layers are prepared by controlling the oxygen partial pressure during the deposition. YSZ solid electrolyte deposition onto Ni-YSZ eutectic substrate is found to be a promising combination with regard to intermediate-temperature solid-oxide fuel cell applications. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  1. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  2. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  3. Gas barrier properties of titanium oxynitride films deposited on polyethylene terephthalate substrates by reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lin, M.-C. [Department of Materials Science and Engineering, National ChungHsin University, 250, Kuo-Kung Road, 40227 Taichung, Taiwan (China); Chang, L.-S. [Department of Materials Science and Engineering, National ChungHsin University, 250, Kuo-Kung Road, 40227 Taichung, Taiwan (China)], E-mail: lschang@dragon.nchu.edu.tw; Lin, H.C. [Department of Materials Science and Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, 106 Taipei, Taiwan (China)

    2008-03-30

    Titanium oxynitride (TiN{sub x}O{sub y}) films were deposited on polyethylene terephthalate (PET) substrates by means of a reactive radio frequency (RF) magnetron sputtering system in which the power density and substrate bias were the varied parameters. Experimental results show that the deposited TiN{sub x}O{sub y} films exhibited an amorphous or a columnar structure with fine crystalline dependent on power density. The deposition rate increases significantly in conjunction as the power density increases from 2 W/cm{sup 2} to 7 W/cm{sup 2}. The maximum deposition rate occurs, as the substrate bias is -40 V at a certain power densities chosen in this study. The film's roughness slightly decreases with increasing substrate bias. The TiN{sub x}O{sub y} films deposited at power densities above 4 W/cm{sup 2} show a steady Ti:N:O ratio of about 1:1:0.8. The water vapor and oxygen transmission rates of the TiN{sub x}O{sub y} films reach values as low as 0.98 g/m{sup 2}-day-atm and 0.60 cm{sup 3}/m{sup 2}-day-atm which are about 6 and 47 times lower than those of the uncoated PET substrate, respectively. These transmission rates are comparable to those of DLC, carbon-based and Al{sub 2}O{sub 3} barrier films. Therefore, TiN{sub x}O{sub y} films are potential candidates to be used as a gas permeation barrier for PET substrate.

  4. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    Science.gov (United States)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  5. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  6. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  7. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  8. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  9. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  10. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  11. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  12. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  13. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  14. High rate (∼7 nm/s), atmospheric pressure deposition of ZnO front electrode for Cu(In,Ga)Se2 thin-film solar cells with efficiency beyond 15%

    NARCIS (Netherlands)

    Illiberi, A.; Grob, F.; Frijters, C.; Poodt, P.; Ramachandra, R.; Winands, H.; Simor, M.; Bolt, P.J.

    2013-01-01

    Undoped zinc oxide (ZnO) films have been grown on a moving glass substrate by plasma-enhanced chemical vapor deposition at atmospheric pressure. High deposition rates of ∼7 nm/s are achieved at low temperature (200°C) for a substrate speed from 20 to 60 mm/min. ZnO films are highly transparent in

  15. Study on the effect of deposition rate and concentration of Eu on the fluorescent lifetime of CsI: Tl thin film

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Yijun; Guo, Lina [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, Chengdu 610054 (China); Wang, Qianfeng; Zhang, Shangjian; Liu, Yong [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, Chengdu 610054 (China); Zhong, Zhiyong [University of Electronic Science and Technology of China, State Key Laboratory of Electronic Thin Films and Integrated Devices, Chengdu 610054 (China)

    2017-06-21

    Although there are many new scintillators being developed recently, CsI: Tl is still very efficient among them. The fluorescent lifetime is a very important parameter of CsI: Tl thin film and two series of experiments have been conducted to learn about it. Our experiments, however, have demonstrated that the deposition rate and the codoping of Eu{sup 2+} will significantly influence its fluorescent lifetime. In order to increase the efficiency of the imaging system, we intend to obtain a higher fluorescent lifetime for CsI: Tl thin film by controlling these two conditions. - Highlights: • We used vacuum vapor deposition method to grow the high-quality thin films. • The relationship between the deposition rate and the fluorescent lifetime of CsI: Tl thin film was tested. • Concentration of Eu on fluorescent lifetime of the CsI: Tl thin film was studied.

  16. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  17. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  18. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  19. Magnetic properties of Co-N films deposited by ECR nitrogen/argon plasma with DC negative-biased Co target

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.; Zhang, Y.C.; Yang, K.; Liu, H.X.; Zhu, X.D., E-mail: xdzhu@ustc.edu.cn; Zhou, H.Y.

    2017-06-01

    Highlights: • A new method of synthesizing Co-N films containing Co{sub 4}N phase. • Tunable magnetic properties achieved in ECR plasma CVD. • The change of magnetic properties is related to atoms mobility on substrate and the concentration of active species in plasma vapor. - Abstract: By introducing DC negative-biased Co target in the Electron Cyclotron Resonance (ECR) nitrogen/argon plasma, the Co-N films containing Co{sub 4}N phase were synthesized on Si(100) substrate. Effects of processing parameters on magnetic properties of the films are investigated. It is found that magnetic properties of Co-N films vary with N{sub 2}/Ar flow ratio, substrate temperature, and target biasing voltage. The saturation magnetization M{sub s} decreased by increasing the N{sub 2}/Ar gas flow ratio or decreasing target biasing voltage, while the coercive field H{sub c} increased, which is ascribed to the variation of relative concentration for N or Co active species in plasma vapor. The magnetic properties present complex dependency with growth temperature, which is related to the atom mobility on the substrate affected by the growth temperature. This study exhibits a potential of ECR plasma chemical vapor deposition to synthesize the interstitial compounds and tune magnetic properties of films.

  20. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  1. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  2. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  3. Superconducting magnesium diboride coatings for radio frequency cavities fabricated by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Wolak, M. A.; Tan, T.; Krick, A.; Johnson, E.; Hambe, M.; Chen, Ke; Xi, X. X.

    2014-01-01

    We have investigated the coating of an inner surface of superconducting radio frequency cavities with a magnesium diboride thin film by hybrid physical-chemical vapor deposition (HPCVD). To simulate a 6 GHz rf cavity, a straight stainless steel tube of 1.5-inch inner diameter and a dummy stainless steel cavity were employed, on which small sapphire and metal substrates were mounted at different locations. The MgB2 films on these substrates showed uniformly good superconducting properties including Tc of 37-40 K, residual resistivity ratio of up to 14, and root-mean-square roughness Rq of 20-30 nm. This work demonstrates the feasibility of coating the interior of cylindrical and curved objects with MgB2 by the HPCVD technique, an important step towards superconducting rf cavities with MgB2 coating.

  4. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  5. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  6. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  7. Sensitive coating for water vapors detection based on thermally sputtered calcein thin films.

    Science.gov (United States)

    Kruglenko, I; Shirshov, Yu; Burlachenko, J; Savchenko, A; Kravchenko, S; Manera, M G; Rella, R

    2010-09-15

    In this paper the adsorption properties of thermally sputtered calcein thin films towards water and other polar molecules vapors are studied by different characterization techniques: quartz crystal microbalance, surface plasmon resonance and visible spectroscopy. Sensitivity of calcein thin films to water vapors resulted much higher as compared with those of a number of dyes whose structure was close to that of calcein. All types of sensors with calcein coatings have demonstrated linear concentration dependences in the wide range of water vapor pressure from low concentrations up to 27,000 ppm (close to saturation). At higher concentrations of water vapor all sensors demonstrate the abrupt increase of the response (up to two orders). A theoretical model is advanced explaining the adsorption properties of calcein thin films taking into account their chemical structure and peculiarities of molecular packing. The possibility of application of thermally sputtered calcein films in sensing technique is discussed. Copyright (c) 2010 Elsevier B.V. All rights reserved.

  8. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  9. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  10. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  11. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  12. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  13. Numerical Simulation of Vapor Bubble Growth and Heat Transfer in a Thin Liquid Film

    International Nuclear Information System (INIS)

    Yu-Jia, Tao; Xiu-Lan, Huai; Zhi-Gang, Li

    2009-01-01

    A mathematical model is developed to investigate the dynamics of vapor bubble growth in a thin liquid film, movement of the interface between two fluids and the surface heat transfer characteristics. The model takes into account the effects of phase change between the vapor and liquid, gravity, surface tension and viscosity. The details of the multiphase now and heat transfer are discussed for two cases: (1) when a water micro-droplet impacts a thin liquid film with a vapor bubble growing and (2) when the vapor bubble grows and merges with the vapor layer above the liquid film without the droplet impacting. The development trend of the interface between the vapor and liquid is coincident qualitatively with the available literature, mostly at the first stage. We also provide an important method to better understand the mechanism of nucleate spray cooling. (fundamental areas of phenomenology (including applications))

  14. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  15. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    Science.gov (United States)

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  16. Study of obliquely deposited thin cobalt films

    International Nuclear Information System (INIS)

    Szmaja, W.; Kozlowski, W.; Balcerski, J.; Kowalczyk, P.J.; Grobelny, J.; Cichomski, M.

    2010-01-01

    Research highlights: → The paper reports simultaneously on the magnetic domain structure of obliquely deposited thin cobalt films (40 nm and 100 nm thick) and their morphological structure. Such studies are in fact rare (Refs. cited in the paper). → Moreover, to our knowledge, observations of the morphological structure of these films have not yet been carried out simultaneously by transmission electron microscopy (TEM) and atomic force microscopy (AFM). → The films of both thicknesses were found to have uniaxial in-plane magnetic anisotropy. → The magnetic microstructure of the films 40 nm thick was composed of domains running and magnetized predominantly in the direction perpendicular to the incidence plane of the vapor beam. → As the film thickness was changed from 40 nm to 100 nm, the magnetic anisotropy was observed to change from the direction perpendicular to parallel with respect to the incidence plane. → Thanks to the application of TEM and AFM, complementary information on the morphological structure of the films could be obtained. → In comparison with TEM images, AFM images revealed grains larger in size and slightly elongated in the direction perpendicular rather than parallel to the incidence plane. → These experimental findings clearly show that surface diffusion plays an important role in the process of film growth. → For the films 40 nm thick, the alignment of columnar grains in the direction perpendicular to the incidence plane was observed. → This correlates well with the magnetic domain structure of these films. → For the films 100 nm thick, the perpendicular alignment of columnar grains could also be found, although in fact with larger difficulty. → TEM studies showed that the films consisted mainly of the hexagonal close-packed (HCP) crystalline structure, but no preferred crystallographic orientation of the grains could be detected for the films of both thicknesses. → For the films 100 nm thick, the alignment of

  17. Photoreflectance study of the near-band-edge transitions of chemical vapor deposition-grown mono- and few-layer MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Kuang-I, E-mail: kilin@mail.ncku.edu.tw [Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan 70101, Taiwan (China); Chen, Yen-Jen; Wang, Bo-Yan; Cheng, Yung-Chen [Department of Materials Science, National University of Tainan, Tainan 70005, Taiwan (China); Chen, Chang-Hsiao, E-mail: chsiaoc@fcu.edu.tw [Department of Automatic Control Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-03-21

    Room-temperature photoreflectance (PR) and reflectance (R) spectroscopy are utilized to investigate the near-band-edge transitions of molybdenum disulfide (MoS{sub 2}) thin films grown on sapphire substrates by a hot-wall chemical vapor deposition system. The layer thickness and optical properties of the MoS{sub 2} thin films are confirmed by Raman spectroscopy, atomic force microscope, and photoluminescence (PL) analysis. The B exciton shows relatively weak PL intensity in comparing with the A exciton even for monolayer MoS{sub 2} films. In the R spectrum of few‐layer MoS{sub 2}, it is not possible to clearly observe exciton related features. The PR spectra have two sharp, derivative-like features on a featureless background. Throughout the PR lineshape fitting, the transition energies are designated as the A and B excitons at the K-point of the Brillouin zone, but at room temperature there seems to be no distinguishable feature corresponding to an H‐point transition for the mono- and few-layer MoS{sub 2} films unlike in bulk. These transition energies are slightly larger than those obtained by PL, which is attributed to the Stokes shifts related to doping level. The obtained values of valence-band spin-orbit splitting are in good agreement with those from other experimental methods. By comparing the PR lineshapes, the dominant modulation mechanism is attributed to variations of the exciton transition energies due to change in the built-in electric field. On the strength of this study, PR spectroscopy is demonstrated as a powerful technique for characterizing the near-band-edge transitions of MoS{sub 2} from monolayer to bulk.

  18. Towards a uniform and large-scale deposition of MoS2 nanosheets via sulfurization of ultra-thin Mo-based solid films.

    Science.gov (United States)

    Vangelista, Silvia; Cinquanta, Eugenio; Martella, Christian; Alia, Mario; Longo, Massimo; Lamperti, Alessio; Mantovan, Roberto; Basset, Francesco Basso; Pezzoli, Fabio; Molle, Alessandro

    2016-04-29

    Large-scale integration of MoS2 in electronic devices requires the development of reliable and cost-effective deposition processes, leading to uniform MoS2 layers on a wafer scale. Here we report on the detailed study of the heterogeneous vapor-solid reaction between a pre-deposited molybdenum solid film and sulfur vapor, thus resulting in a controlled growth of MoS2 films onto SiO2/Si substrates with a tunable thickness and cm(2)-scale uniformity. Based on Raman spectroscopy and photoluminescence, we show that the degree of crystallinity in the MoS2 layers is dictated by the deposition temperature and thickness. In particular, the MoS2 structural disorder observed at low temperature (<750 °C) and low thickness (two layers) evolves to a more ordered crystalline structure at high temperature (1000 °C) and high thickness (four layers). From an atomic force microscopy investigation prior to and after sulfurization, this parametrical dependence is associated with the inherent granularity of the MoS2 nanosheet that is inherited by the pristine morphology of the pre-deposited Mo film. This work paves the way to a closer control of the synthesis of wafer-scale and atomically thin MoS2, potentially extendable to other transition metal dichalcogenides and hence targeting massive and high-volume production for electronic device manufacturing.

  19. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  20. Nanostructured MgTiO{sub 3} thick films obtained by electrophoretic deposition from nanopowders prepared by solar PVD

    Energy Technology Data Exchange (ETDEWEB)

    Apostol, Irina [S.C. IPEE Amiral Trading Impex S.A., 115300 Curtea de Arges (Romania); Mahajan, Amit [Department of Materials and Ceramics Engineering, Centre for Research in Ceramics and Composite Materials, CICECO, University of Aveiro, 3810-093 Aveiro (Portugal); Monty, Claude J.A. [CNRS-PROMES Laboratory, 66120 Font Romeu Odeillo (France); Venkata Saravanan, K., E-mail: venketvs@cutn.ac.in [Department of Materials and Ceramics Engineering, Centre for Research in Ceramics and Composite Materials, CICECO, University of Aveiro, 3810-093 Aveiro (Portugal); Department of Physics, School of Basic and Applied Science, Central University of Tamil Nadu, Thiruvarur 61010 (India)

    2015-12-15

    Highlights: • Obtaining nano-crystalline magnesium titanium oxide powders by solar physical vapor deposition (SPVD) process. And using these nano-powders to obtain thick films on conducting substrates by electrophoretic deposition (EPD). • SPVD is a core innovative, original and environmentally friendly process to prepare nano-materials in a powder form. • Sintered thick films exhibited dielectric constant, ε{sub r} ∼18.3 and dielectric loss, tan δ ∼0.0012 at 1 MHz, which is comparable to the values reported earlier. • New contributions to the pool of information on the preparation of nano-structured MgTiO{sub 3} thick films at low temperatures. • A considerable decrease in synthesis temperature of pure MgTiO{sub 3} thick film was observed by the combination of SPVD and EPD. - Abstract: A novel combination of solar physical vapor deposition (SPVD) and electrophoretic deposition (EPD) that was developed to grow MgTiO{sub 3} nanostructured thick films is presented. Obtaining nanostructured MgTiO{sub 3} thick films, which can replace bulk ceramic components, a major trend in electronic industry, is the main objective of this work. The advantage of SPVD is direct synthesis of nanopowders, while EPD is simple, fast and inexpensive technique for preparing thick films. SPVD technique was developed at CNRS-PROMES Laboratory, Odeillo-Font Romeu, France, while the EPD was performed at University of Aveiro – DeMAC/CICECO, Portugal. The nanopowders with an average crystallite size of about 30 nm prepared by SPVD were dispersed in 50 ml of acetone in basic media with addition of triethanolamine. The obtained well-dispersed and stable suspensions were used for carrying out EPD on 25 μm thick platinum foils. After deposition, films with thickness of about 22–25 μm were sintered in air for 15 min at 800, 900 and 1000 °C. The structural and microstructural characterization of the sintered thick films was carried out using XRD and SEM, respectively. The