WorldWideScience

Sample records for vapor deposition diamonds

  1. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  5. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  6. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  7. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  8. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  9. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  10. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  11. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  12. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  13. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  14. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  15. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  16. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  17. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  18. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  19. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  20. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  1. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  2. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  3. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  4. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  5. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  6. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  7. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  8. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  9. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  10. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  12. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  13. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  14. Perfect alignment and preferential orientation of nitrogen-vacancy centers during chemical vapor deposition diamond growth on (111) surfaces

    International Nuclear Information System (INIS)

    Michl, Julia; Zaiser, Sebastian; Jakobi, Ingmar; Waldherr, Gerald; Dolde, Florian; Neumann, Philipp; Wrachtrup, Jörg; Teraji, Tokuyuki; Doherty, Marcus W.; Manson, Neil B.; Isoya, Junichi

    2014-01-01

    Synthetic diamond production is a key to the development of quantum metrology and quantum information applications of diamond. The major quantum sensor and qubit candidate in diamond is the nitrogen-vacancy (NV) color center. This lattice defect comes in four different crystallographic orientations leading to an intrinsic inhomogeneity among NV centers, which is undesirable in some applications. Here, we report a microwave plasma-assisted chemical vapor deposition diamond growth technique on (111)-oriented substrates, which yields perfect alignment (94% ± 2%) of as-grown NV centers along a single crystallographic direction. In addition, clear evidence is found that the majority (74% ± 4%) of the aligned NV centers were formed by the nitrogen being first included in the (111) growth surface and then followed by the formation of a neighboring vacancy on top. The achieved homogeneity of the grown NV centers will tremendously benefit quantum information and metrology applications

  15. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  16. Diamond pixel modules

    International Nuclear Information System (INIS)

    Asner, D.; Barbero, M.; Bellini, V.; Belyaev, V.; Brom, J-M.; Bruzzi, M.; Chren, D.; Cindro, V.; Claus, G.; Cristinziani, M.; Costa, S.; D'Alessandro, R.; Boer, W. de; Dobos, D.; Dolenc, I.; Dulinski, W.; Duris, J.; Eremin, V.; Eusebi, R.; Frais-Koelbl, H.

    2011-01-01

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10 16 protons/cm 2 illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  17. Diamond pixel modules

    Energy Technology Data Exchange (ETDEWEB)

    Asner, D. [Carleton University, Ottawa (Canada); Barbero, M. [Universitaet Bonn (Germany); Bellini, V. [INFN/University of Catania (Italy); Belyaev, V. [MEPHI Institute, Moscow (Russian Federation); Brom, J-M. [IPHC, Strasbourg (France); Bruzzi, M. [INFN/University of Florence (Italy); Chren, D. [Czech Technical University, Prague (Czech Republic); Cindro, V. [Jozef Stefan Institute, Ljubljana (Slovenia); Claus, G. [IPHC, Strasbourg (France); Cristinziani, M. [Universitaet Bonn (Germany); Costa, S. [INFN/University of Catania (Italy); D' Alessandro, R. [Department of Energetics/INFN Florence (Italy); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Dobos, D. [CERN, Geneva (Switzerland); Dolenc, I. [Jozef Stefan Institute, Ljubljana (Slovenia); Dulinski, W. [IPHC, Strasbourg (France); Duris, J. [UCLA, Los Angeles, CA (United States); Eremin, V. [Ioffe Institute, St. Petersburg (Russian Federation); Eusebi, R. [FNAL, Batavia (United States); Frais-Koelbl, H. [Fachhochschule fuer Wirtschaft und Technik, Wiener Neustadt (Austria)

    2011-04-21

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10{sup 16} protons/cm{sup 2} illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  18. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  19. Diamond films: Historical perspective

    Energy Technology Data Exchange (ETDEWEB)

    Messier, R. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This section is a compilation of notes and published international articles about the development of methods of depositing diamond films. Vapor deposition articles are included from American, Russian, and Japanese publications. The international competition to develop new deposition methodologies is stressed. The current status of chemical vapor deposition of diamond is assessed.

  20. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  1. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  2. Novel ion-molecular surface reaction to result in CH3 adsorbates on (111) surface of chemical vapor deposition diamond from ethane and surface anionic sites

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Okada, Katsuyuki; Shimizu, Yoshiki; Moriyoshi, Yusuke

    2001-01-01

    The existence of CH 3 adsorbates on (111) surface of chemical vapor deposited diamond, which was observed by scanning tunneling microscopy, was explained by the following S N 2 (bimolecular, substitutional, and nucleophilic) type surface reaction; C(s) - +C 2 H 6 ->C(s)-CH 3 +CH 3 - , where C(s) denotes a surface carbon atom. The activation energy was estimated to be 36.78 kcal/mol and the reaction proved to be exothermic with the enthalpy change of -9.250 kcal/mol, according to ab initio molecular orbital calculations at MP2/3-21+G * //RHF/3-21G * level; this result is consistent with typical substrate temperatures, namely about 900 degree C, for chemical vapor deposition of diamond. Charge transfer from the highest occupied molecular orbital of the surface anionic site to the lowest unoccupied molecular orbital of ethane, that is antibonding at the CH 3 - CH 3 bond, has been clearly visualized. A characteristic configuration of an ethane molecule which is associated with an anionic vacant site C(s) - on hydrogenated (111) surface of diamond was also found. [copyright] 2001 American Institute of Physics

  3. Friction and wear properties of diamonds and diamond coatings

    International Nuclear Information System (INIS)

    Hayward, I.P.

    1991-01-01

    The recent development of chemical vapor deposition techniques for diamond growth enables bearings to be designed which exploit diamond's low friction and extreme resistance to wear. However, currently produced diamond coatings differ from natural diamond surfaces in that they are polycrystalline and faceted, and often contain appreciable amounts of non-diamond material (i.e. graphitic or amorphous carbon). Roughness, in particular, influences the friction and wear properties; rough coatings severely abrade softer materials, and can even wear natural diamond sliders. Nevertheless, the best available coatings exhibit friction coefficients as low as those of natural diamond and are highly resistant to wear. This paper reviews the tribological properties of natural diamond, and compares them with those of chemical vapor deposited diamond coatings. Emphasis is placed on the roles played by roughness and material transfer in controlling frictional behavior. (orig.)

  4. Room-temperature deposition of diamond-like carbon field emitter on flexible substrates

    International Nuclear Information System (INIS)

    Chen, H.; Iliev, M.N.; Liu, J.R.; Ma, K.B.; Chu, W.-K.; Badi, N.; Bensaoula, A.; Svedberg, E.B.

    2006-01-01

    Room-temperature fabrication of diamond-like carbon electron field emitters on flexible polyimide substrate is reported. These thin film field emitters are made using an Ar gas cluster ion beam assisted C 6 vapor deposition method. The bond structure of the as-deposited diamond-like carbon film was studied using Raman spectroscopy. The field emission characteristics of the deposited films were also measured. Electron current densities over 15 mA/cm 2 have been recorded under an electrical field of about 65 V/μm. These diamond-like carbon field emitters are easy and inexpensive to fabricate. The results are promising for flexible field-emission fabrication without the need of complex patterning and tip shaping as compared to the Spindt-type field emitters

  5. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  6. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  7. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  8. Recent Advances in Diamond Detectors

    CERN Document Server

    Trischuk, W.

    2008-01-01

    With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2012, ATLAS and CMS are planning for detector upgrades for their innermost layers requiring radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is now planned for all LHC experiments. This material is now being considered as an alternate sensor for use very close to the interaction region of the super LHC where the most extreme radiation conditions will exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences available. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8 x 10^16 protons/cm^2 showing that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve allowing one t...

  9. Diamond Synthesis Employing Nanoparticle Seeds

    Science.gov (United States)

    Uppireddi, Kishore (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor)

    2014-01-01

    Iron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  10. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  11. Selective area deposition of diamond films on AlGaN/GaN heterostructures

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Babchenko, Oleg; Jirásek, Vít; Vanko, G.; Vallo, M.; Vojs, M.; Kromka, Alexander

    2014-01-01

    Roč. 250, č. 12 (2014), 2574-2580 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : circular high electron mobility transistors * diamond films * GaN substrates * microwave chemical vapor deposition * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  12. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  13. Sputtered tungsten-based ternary and quaternary layers for nanocrystalline diamond deposition.

    Science.gov (United States)

    Walock, Michael J; Rahil, Issam; Zou, Yujiao; Imhoff, Luc; Catledge, Shane A; Nouveau, Corinne; Stanishevsky, Andrei V

    2012-06-01

    Many of today's demanding applications require thin-film coatings with high hardness, toughness, and thermal stability. In many cases, coating thickness in the range 2-20 microm and low surface roughness are required. Diamond films meet many of the stated requirements, but their crystalline nature leads to a high surface roughness. Nanocrystalline diamond offers a smoother surface, but significant surface modification of the substrate is necessary for successful nanocrystalline diamond deposition and adhesion. A hybrid hard and tough material may be required for either the desired applications, or as a basis for nanocrystalline diamond film growth. One possibility is a composite system based on carbides or nitrides. Many binary carbides and nitrides offer one or more mentioned properties. By combining these binary compounds in a ternary or quaternary nanocrystalline system, we can tailor the material for a desired combination of properties. Here, we describe the results on the structural and mechanical properties of the coating systems composed of tungsten-chromium-carbide and/or nitride. These WC-Cr-(N) coatings are deposited using magnetron sputtering. The growth of adherent nanocrystalline diamond films by microwave plasma chemical vapor deposition has been demonstrated on these coatings. The WC-Cr-(N) and WC-Cr-(N)-NCD coatings are characterized with atomic force microscopy and SEM, X-ray diffraction, X-ray photoelectron spectroscopy, Raman spectroscopy, and nanoindentation.

  14. Structure and properties of diamond and diamond-like films

    Energy Technology Data Exchange (ETDEWEB)

    Clausing, R.E. [Oak Ridge National Lab., TN (United States)

    1993-01-01

    This section is broken into four parts: (1) introduction, (2) natural IIa diamond, (3) importance of structure and composition, and (4) control of structure and properties. Conclusions of this discussion are that properties of chemical vapor deposited diamond films can compare favorably with natural diamond, that properties are anisotropic and are a strong function of structure and crystal perfection, that crystal perfection and morphology are functions of growth conditions and can be controlled, and that the manipulation of texture and thereby surface morphology and internal crystal perfection is an important step in optimizing chemically deposited diamond films for applications.

  15. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  16. Diamond nanophotonics

    Directory of Open Access Journals (Sweden)

    Katja Beha

    2012-12-01

    Full Text Available We demonstrate the coupling of single color centers in diamond to plasmonic and dielectric photonic structures to realize novel nanophotonic devices. Nanometer spatial control in the creation of single color centers in diamond is achieved by implantation of nitrogen atoms through high-aspect-ratio channels in a mica mask. Enhanced broadband single-photon emission is demonstrated by coupling nitrogen–vacancy centers to plasmonic resonators, such as metallic nanoantennas. Improved photon-collection efficiency and directed emission is demonstrated by solid immersion lenses and micropillar cavities. Thereafter, the coupling of diamond nanocrystals to the guided modes of micropillar resonators is discussed along with experimental results. Finally, we present a gas-phase-doping approach to incorporate color centers based on nickel and tungsten, in situ into diamond using microwave-plasma-enhanced chemical vapor deposition. The fabrication of silicon–vacancy centers in nanodiamonds by microwave-plasma-enhanced chemical vapor deposition is discussed in addition.

  17. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  18. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  19. Diamond anvil cells using boron-doped diamond electrodes covered with undoped diamond insulating layer

    Science.gov (United States)

    Matsumoto, Ryo; Yamashita, Aichi; Hara, Hiroshi; Irifune, Tetsuo; Adachi, Shintaro; Takeya, Hiroyuki; Takano, Yoshihiko

    2018-05-01

    Diamond anvil cells using boron-doped metallic diamond electrodes covered with undoped diamond insulating layers have been developed for electrical transport measurements under high pressure. These designed diamonds were grown on a bottom diamond anvil via a nanofabrication process combining microwave plasma-assisted chemical vapor deposition and electron beam lithography. The resistance measurements of a high-quality FeSe superconducting single crystal under high pressure were successfully demonstrated by just putting the sample and gasket on the bottom diamond anvil directly. The superconducting transition temperature of the FeSe single crystal was increased to up to 43 K by applying uniaxial-like pressure.

  20. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  1. Diamond Nucleation Using Polyethene

    Science.gov (United States)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  2. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  3. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility

    Science.gov (United States)

    Kabadi, N. V.; Sio, H.; Glebov, V.; Gatu Johnson, M.; MacPhee, A.; Frenje, J. A.; Li, C. K.; Seguin, F.; Petrasso, R.; Forrest, C.; Knauer, J.; Rinderknecht, H. G.

    2016-11-01

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF's absolute sensitivity to neutrons. At Omega pTOF's sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At the NIF pTOF's sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. Some possible causes of this variability are ruled out.

  4. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  5. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  6. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  7. Tracing the Source of Borneo's Cempaka Diamond Deposit

    Science.gov (United States)

    White, L. T.; Graham, I.; Armstrong, R. A.; Hall, R.

    2014-12-01

    Several gem quality diamond deposits are found in paleo-alluvial deposits across Borneo. The source of the diamonds and their origin are enigmatic. They could have formed in Borneo and be derived from local sources, or they could be related to diamond deposits in NW Australia, and carried with the Southwest Borneo Block after it rifted from Australia in the Late Jurassic. We collected U-Pb isotopic data from detrital zircons from the Cempaka alluvial diamond deposit in southeast Borneo. Two thirds of the zircons that were dated crystallized between 75 Ma and 110 Ma. The other third are Triassic or older (223 Ma, 314-319 Ma, 353-367 Ma, 402-414 Ma, 474 Ma, 521 Ma, 549 Ma, 1135-1176 Ma, 1535 Ma, 2716 Ma). All of the Cretaceous zircons are angular, euhedral grains with minor evidence of mechanical abrasion. Considering their age and morphology they were likely derived from the nearby Schwaner Granites. The Triassic and older grains are rounded to semi-rounded and were likely derived from Australia before Borneo rifted from Gondwana. Some of the zircons have ages that resemble those of the Merlin and Argyle diamond deposits of Australia. The diamonds themselves have delicate resorption features and overgrowths that would potentially be destroyed with prolonged transport. Geochemical data collected from the diamonds implies they were associated with lamproite intrusions. Deep seismic lines and zircons from igneous rocks suggest SE Borneo, the East Java Sea and East Java are largely underlain by thick lithosphere rifted from NW Australia. Based on several lines of evidence, we propose that diamond-bearing lamproites intruded before rifting of SW Borneo from Australia, or after collision with Sundaland of SW Borneo and the East Java-West Sulawesi Blocks during the Cretaceous. Exposure of the source after the Late Cretaceous led to diamond accumulation in river systems that flowed from the Schwaner Mountains.

  8. Direct Coating of Nanocrystalline Diamond on Steel

    Science.gov (United States)

    Tsugawa, Kazuo; Kawaki, Shyunsuke; Ishihara, Masatou; Hasegawa, Masataka

    2012-09-01

    Nanocrystalline diamond films have been successfully deposited on stainless steel substrates without any substrate pretreatments to promote diamond nucleation, including the formation of interlayers. A low-temperature growth technique, 400 °C or lower, in microwave plasma chemical vapor deposition using a surface-wave plasma has cleared up problems in diamond growth on ferrous materials, such as the surface graphitization, long incubation time, substrate softening, and poor adhesion. The deposited nanocrystalline diamond films on stainless steel exhibit good adhesion and tribological properties, such as a high wear resistance, a low friction coefficient, and a low aggression strength, at room temperature in air without lubrication.

  9. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    International Nuclear Information System (INIS)

    Yater, J. E.; Shaw, J. L.; Pate, B. B.; Feygelson, T. I.

    2016-01-01

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distribution as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum electron

  10. Tracing the depositional history of Kalimantan diamonds by zircon provenance and diamond morphology studies

    Science.gov (United States)

    Kueter, Nico; Soesilo, Joko; Fedortchouk, Yana; Nestola, Fabrizio; Belluco, Lorenzo; Troch, Juliana; Wälle, Markus; Guillong, Marcel; Von Quadt, Albrecht; Driesner, Thomas

    2016-11-01

    Diamonds in alluvial deposits in Southeast Asia are not accompanied by indicator minerals suggesting primary kimberlite or lamproite sources. The Meratus Mountains in Southeast Borneo (Province Kalimantan Selatan, Indonesia) provide the largest known deposit of these so-called "headless" diamond deposits. Proposals for the origin of Kalimantan diamonds include the adjacent Meratus ophiolite complex, ultra-high pressure (UHP) metamorphic terranes, obducted subcontinental lithospheric mantle and undiscovered kimberlite-type sources. Here we report results from detailed sediment provenance analysis of diamond-bearing Quaternary river channel material and from representative outcrops of the oldest known formations within the Alino Group, including the diamond-bearing Campanian-Maastrichtian Manunggul Formation. Optical examination of surfaces of diamonds collected from artisanal miners in the Meratus area (247 stones) and in West Borneo (Sanggau Area, Province Kalimantan Barat; 85 stones) points toward a classical kimberlite-type source for the majority of these diamonds. Some of the diamonds host mineral inclusions suitable for deep single-crystal X-ray diffraction investigation. We determined the depth of formation of two olivines, one coesite and one peridotitic garnet inclusion. Pressure of formation estimates for the peridotitic garnet at independently derived temperatures of 930-1250 °C are between 4.8 and 6.0 GPa. Sediment provenance analysis includes petrography coupled to analyses of detrital garnet and glaucophane. The compositions of these key minerals do not indicate kimberlite-derived material. By analyzing almost 1400 zircons for trace element concentrations with laser ablation ICP-MS (LA-ICP-MS) we tested the mineral's potential as an alternative kimberlite indicator. The screening ultimately resulted in a small subset of ten zircons with a kimberlitic affinity. Subsequent U-Pb dating resulting in Cretaceous ages plus a detailed chemical reflection make

  11. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  12. Fabrication of Diamond Based Sensors for Use in Extreme Environments

    Directory of Open Access Journals (Sweden)

    Gopi K. Samudrala

    2015-04-01

    Full Text Available Electrical and magnetic sensors can be lithographically fabricated on top of diamond substrates and encapsulated in a protective layer of chemical vapor deposited single crystalline diamond. This process when carried out on single crystal diamond anvils employed in high pressure research is termed as designer diamond anvil fabrication. These designer diamond anvils allow researchers to study electrical and magnetic properties of materials under extreme conditions without any possibility of damaging the sensing elements. We describe a novel method for the fabrication of designer diamond anvils with the use of maskless lithography and chemical vapor deposition in this paper. This method can be utilized to produce diamond based sensors which can function in extreme environments of high pressures, high and low temperatures, corrosive and high radiation conditions. We demonstrate applicability of these diamonds under extreme environments by performing electrical resistance measurements during superconducting transition in rare earth doped iron-based compounds under high pressures to 12 GPa and low temperatures to 10 K.

  13. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  14. Iron Oxide Nanoparticles Employed as Seeds for the Induction of Microcrystalline Diamond Synthesis

    Directory of Open Access Journals (Sweden)

    Resto Oscar

    2008-01-01

    Full Text Available AbstractIron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. X-ray diffraction, visible, and ultraviolet Raman Spectroscopy, energy-filtered transmission electron microscopy , electron energy-loss spectroscopy, and X-ray photoelectron spectroscopy (XPS were employed to study the carbon bonding nature of the films and to analyze the carbon clustering around the seed nanoparticles leading to diamond synthesis. The results indicate that iron oxide nanoparticles lose the O atoms, becoming thus active C traps that induce the formation of a dense region of trigonally and tetrahedrally bonded carbon around them with the ensuing precipitation of diamond-type bonds that develop into microcrystalline diamond films under chemical vapor deposition conditions. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  15. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  16. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  17. Rapid growth of diamond-like-carbon films by copper vapor laser ablation

    International Nuclear Information System (INIS)

    McLean, W.; Warner, B.E.; Havstad, M.A.

    1995-04-01

    Visible light from a copper vapor laser (CVL) operating with 510 and 578 nm radiation (intensity ratio approximately 2:1), an average power of 100 W, a pulse duration of 50 ns, and a repetition frequency of 4.4 kHz has been shown to produce high quality diamond-like-carbon (DLC) films at fluences between 2x10 8 and 5x10 10 W/cm 2 . Maximum deposition rates of 2000 μm·cm 2 /h were obtained at 5x10 8 W/cm 2 . DLC films with hardness values of approximately 60 GPa were characterized by a variety of techniques to confirm DLC character, hydrogen content, and surface morphology. The presence of C 2 in the vapor plume was confirmed by the presence of the C 2 Swan bands in emission spectra obtained during the process. Economic implications of process scale-up to industrially meaningful component sizes are presented

  18. Fundamental studies of the chemical vapor deposition of diamond. Final technical report, April 1, 1988--December 31, 1994

    Energy Technology Data Exchange (ETDEWEB)

    Nix, W.D.

    1995-05-01

    We submit here a final technical report for the research program entitled: Fundamental Studies of the Chemical Vapor Deposition of Diamond, DOE Grant No. DE-FG05-88ER45345-M006. This research program was initiated in 1988 under the direction of the late Professor David A. Stevenson and was renewed in 1992. Unfortunately, at the end of 1992, just as the last phase of this work was getting underway, Professor Stevenson learned that he had developed mesothelioma, a form of cancer based on asbestos. Professor Stevenson died from that disease in February of 1994. Professor William D. Nix, the Chairman of the Materials Science department at Stanford was named the Principal Investigator. Professor Nix has assembled this final technical report. Much of the work of this grant was conducted by Mr. Paul Dennig, a graduate student who will receive his Ph.D. degree from Stanford in a few months. His research findings are described in the chapters of this report and in the papers published over the past few years. The main discovery of this work was that surface topology plays a crucial role in the nucleation of diamond on silicon. Dennig and his collaborators demonstrated this by showing that diamond nucleates preferentially at the tips of asperities on a silicon surface rather than in the re-entrant comers at the base of such asperities. Some of the possible reasons for this effect are described in this report. The published papers listed on the next page of this report also describe this research. Interested persons can obtain copies of these papers from Professor Nix at Stanford. A full account of all of the research results obtained in this work is given in the regular chapters that follow this brief introduction. In addition, interested readers will want to consult Mr. Dennig`s Ph.D. dissertation when it is made available later this year.

  19. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  20. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  1. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  2. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  3. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  4. Pulsed laser deposition of metallic films on the surface of diamond particles for diamond saw blades

    International Nuclear Information System (INIS)

    Jiang Chao; Luo Fei; Long Hua; Hu Shaoliu; Li Bo; Wang Youqing

    2005-01-01

    Ti or Ni films have been deposited on the diamond particle surfaces by pulsed laser deposition. Compressive resistance of the uncoated and coated diamond particles was measured, respectively, in the experiments. The compressive resistance of the Ti-coated diamonds particles was found much higher than that of the uncoated ones. It increased by 39%. The surface morphology is observed by the metallography microscope. The surface of the uncoated diamonds particles had many hollows and flaws, while the surface of Ni-coated diamond particles was flat and smooth, and the surface of Ti-coated diamond particles had some metal masses that stood out of the surface of the Ti-coated film. The components of the metallic films of diamond particles were examined by X-ray diffractometry (XRD). TiC was found formed on the Ti-coated diamond surface, which resulted in increased surface bonding strength between the diamond particles and the Ti films. Meanwhile, TiC also favored improving the bonding strength between the coated diamond particles and the binding materials. Moreover, the bending resistance of the diamond saw blade made of Ti-coated diamond was drastically higher than that of other diamond saw blades, which also played an important role in improving the blade's cutting ability and lifetime. Therefore, it was most appropriate that the diamond saw blade was made of Ti-coated diamond particles rather than other materials

  5. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  6. Diamond coating deposition by synergy of thermal and laser methods-A problem revisited

    International Nuclear Information System (INIS)

    Ristic, Gordana S.; Trtica, Milan S.; Bogdanov, Zarko D.; Romcevic, Nebojsa Z.; Miljanic, Scepan S.

    2007-01-01

    Diamond coatings were deposited by synergy of the hot filament CVD method and the pulse TEA CO 2 laser, in spectroactive and spectroinactive diamond precursor atmospheres. Resulting diamond coatings are interpreted relying on evidence of scanning electron microscopy as well as microRaman spectroscopy. Thermal synergy component (hot filament) possesses an activating agent for diamond deposition, and contributes significantly to quality and extent of diamond deposition. Laser synergy component comprises a solid surface modification as well as the spectroactive gaseous atmosphere modification. Surface modification consists in changes of the diamond coating being deposited and, at the same time, in changes of the substrate surface structure. Laser modification of the spectroactive diamond precursor atmosphere means specific consumption of the precursor, which enables to skip the deposition on a defined substrate location. The resulting process of diamond coating elimination from certain, desired locations using the CO 2 laser might contribute to tailoring diamond coatings for particular applications. Additionally, the substrate laser modification could be optimized by choice of a proper spectroactive precursor concentration, or by a laser radiation multiple pass through an absorbing medium

  7. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  8. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  9. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  10. Diamond deposition using a planar radio frequency inductively coupled plasma

    Science.gov (United States)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  11. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  12. A wear simulation study of nanostructured CVD diamond-on-diamond articulation involving concave/convex mating surfaces

    Science.gov (United States)

    Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.

    2015-01-01

    Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457

  13. Surface smoothening effects on growth of diamond films

    Science.gov (United States)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  14. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  15. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  16. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  17. Thin diamond films for tribological applications

    International Nuclear Information System (INIS)

    Wong, M.S.; Meilunas, R.; Ong, T.P.; Chang, R.P.H.

    1989-01-01

    Diamond films have been deposited on Si, Mo and many other substrates by microwave and radio frequency plasma enhanced chemical vapor deposition. Although the adhesion between the diamond film and most of the metal substrates is poor due to residual thermal stress from the mismatch of thermal expansion coefficients, the authors have developed processes to promote the growth of uniform and continuous diamond films with enhanced adhesion to metal substrates for tribological applications. The tribological properties of these films are measured using a ring-on-block tribotester. The coefficients of friction of diamond films sliding against a 52100 steel ring under the same experimental conditions are found to be significantly different depending on the morphology, grain size and roughness of the diamond films. However, under all cases tested, it is found that for uniform and continuous diamond films with small grain size of 1-3 micrometers, the coefficient of friction of the diamond film sliding against a steel ring under lubrication of a jet of mineral oil is about 0.04

  18. Selective formation of diamond-like carbon coating by surface catalyst patterning

    DEFF Research Database (Denmark)

    Palnichenko, A.V.; Mátéfi-Tempfli, M.; Mátéfi-Tempfli, Stefan

    2004-01-01

    The selective formation of diamond-like carbon coating by surface catalyst patterning was studied. DLC films was deposited using plasma enhanced chemical vapor deposition, filtered vacuum arc deposition, laser ablation, magnetron sputtering and ion-beam lithography methods. The DLC coatings were...

  19. Structural and electrical characterization of diamond films deposited in nitrogen/oxygen containing gas mixture by linear antenna microwave CVD process

    Czech Academy of Sciences Publication Activity Database

    Vojs, Marian; Varga, Marián; Babchenko, Oleg; Ižák, Tibor; Mikolášek, M.; Marton, M.; Kromka, Alexander

    2014-01-01

    Roč. 312, SEP (2014), s. 226-230 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : pulsed linear antenna microwave chemical vapor deposition * nanocrystalline diamond * Raman spectroscopy * admittance spectroscopy * n-type conductive NCD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.711, year: 2014

  20. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  1. Status and applications of diamond and diamond-like materials: An emerging technology

    Science.gov (United States)

    1990-01-01

    Recent discoveries that make possible the growth of crystalline diamond by chemical vapor deposition offer the potential for a wide variety of new applications. This report takes a broad look at the state of the technology following from these discoveries in relation to other allied materials, such as high-pressure diamond and cubic boron nitride. Most of the potential defense, space, and commercial applications are related to diamond's hardness, but some utilize other aspects such as optical or electronic properties. The growth processes are reviewed, and techniques for characterizing the resulting materials' properties are discussed. Crystalline diamond is emphasized, but other diamond-like materials (silicon carbide, amorphous carbon containing hydrogen) are also examined. Scientific, technical, and economic problem areas that could impede the rapid exploitation of these materials are identified. Recommendations are presented covering broad areas of research and development.

  2. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  3. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  4. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  5. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  6. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  7. Vertically aligned carbon nanotubes/diamond double-layered structure for improved field electron emission stability

    Energy Technology Data Exchange (ETDEWEB)

    Yang, L., E-mail: qiaoqin.yang@mail.usask.ca; Yang, Q.; Zhang, C.; Li, Y.S.

    2013-12-31

    A double-layered nanostructure consisting of a layer of vertically aligned Carbon Nanotubes (CNTs) and a layer of diamond beneath has been synthesized on silicon substrate by Hot Filament Chemical Vapor Deposition. The synthesis was achieved by first depositing a layer of diamond on silicon and then depositing a top layer of vertically aligned CNTs by applying a negative bias on the substrate holder. The growth of CNTs was catalyzed by a thin layer of spin-coated iron nitride. The surface morphology and structure of the CNTs/diamond double-layered structure were characterized by Scanning Electron Microscope, Energy Dispersive X-ray spectrum, and Raman Spectroscopy. Their field electron emission (FEE) properties were measured by KEITHLEY 237 high voltage measurement unit, showing much higher FEE current stability than single layered CNTs. - Highlights: • A new double-layered nanostructure consisting of a layer of vertically aligned CNTs and a layer of diamond beneath has been synthesized by hot filament chemical vapor deposition. • This double-layered structure exhibits superior field electron emission stability. • The improvement of emission stability is due to the combination of the unique properties of diamond and CNTs.

  8. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  9. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  10. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  11. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  12. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  13. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  14. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  15. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  16. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  17. Low-Energy, Hydrogen-Free Method of Diamond Synthesis

    Science.gov (United States)

    Varshney, Deepak (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor); Makarov, Vladimir (Inventor)

    2013-01-01

    Diamond thin films were deposited on copper substrate by the Vapor Solid (VS) deposition method using a mixture of fullerene C(sub 60) and graphite as the source material. The deposition took place only when the substrate was kept in a narrow temperature range of approximately 550-650 C. Temperatures below and above this range results in the deposition of fullerenes and other carbon compounds, respectively.

  18. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  19. Photoluminescent properties of single crystal diamond microneedles

    Science.gov (United States)

    Malykhin, Sergey A.; Ismagilov, Rinat R.; Tuyakova, Feruza T.; Obraztsova, Ekaterina A.; Fedotov, Pavel V.; Ermakova, Anna; Siyushev, Petr; Katamadze, Konstantin G.; Jelezko, Fedor; Rakovich, Yury P.; Obraztsov, Alexander N.

    2018-01-01

    Single crystal needle-like diamonds shaped as rectangular pyramids were produced by combination of chemical vapor deposition and selective oxidation with dimensions and geometrical characteristics depending on the deposition process parameters. Photoluminescence spectra and their dependencies on wavelength of excitation radiation reveal presence of nitrogen- and silicon-vacancy color centers in the diamond crystallites. Photoluminescence spectra, intensity mapping, and fluorescence lifetime imaging microscopy indicate that silicon-vacancy centers are concentrated at the crystallites apex while nitrogen-vacancy centers are distributed over the whole crystallite. Dependence of the photoluminescence on excitation radiation intensity demonstrates saturation and allows estimation of the color centers density. The combination of structural parameters, geometry and photoluminescent characteristics are prospective for advantageous applications of these diamond crystallites in quantum information processing and optical sensing.

  20. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  1. The development of diamond tracking detectors for the LHC

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2003-01-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved

  2. The development of diamond tracking detectors for the LHC

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, M; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Doroshenko, J; Dulinski, W; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Furetta, C; Gan, K K; Ghodbane, N; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Karl, C; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, M; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Marshall, R D; Meier, D; Menichelli, D; Meuser, S; Mishina, M; Moroni, L; Noomen, J; Oh, A; Perera, L; Pernegger, H; Pernicka, M; Polesello, P; Potenza, R; Riester, J L; Roe, S; Rudge, A; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Sutera, C; Trischuk, W; Tromson, D; Tuvé, C; Vincenzo, B; Weilhammer, P; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2003-01-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  3. The development of diamond tracking detectors for the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H. E-mail: harris.kagan@cern.ch; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-11-21

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  4. The development of diamond tracking detectors for the LHC

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-11-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  5. HFCVD Diamond-Coated Mechanical Seals

    Directory of Open Access Journals (Sweden)

    Raul Simões

    2018-05-01

    Full Text Available A mechanical seal promotes the connection between systems or mechanisms, preventing the escape of fluids to the exterior. Nonetheless, due to extreme working conditions, premature failure can occur. Diamond, due to its excellent properties, is heralded as an excellent choice to cover the surface of these devices and extend their lifetime. Therefore, the main objective of this work was to deposit diamond films over mechanical seals and test the coated seals on a water pump, under real working conditions. The coatings were created by hot filament chemical vapor deposition (HFCVD and two consecutive layers of micro- and nanocrystalline diamond were deposited. One of the main difficulties is the attainment of a good adhesion between the diamond films and the mechanical seal material (WC-Co. Nucleation, deposition conditions, and pre-treatments were studied to enhance the coating. Superficial wear or delamination of the film was investigated using SEM and Raman characterization techniques, in order to draw conclusions about the feasibility of these coatings in the WC-Co mechanical seals with the purpose of increasing their performance and life time. The results obtained gave a good indication about the feasibility of this process and the deposition conditions used, with the mechanical seals showing no wear and no film delamination after a real work environment test.

  6. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  7. Design and investigation of properties of nanocrystalline diamond optical planar waveguides.

    Science.gov (United States)

    Prajzler, Vaclav; Varga, Marian; Nekvindova, Pavla; Remes, Zdenek; Kromka, Alexander

    2013-04-08

    Diamond thin films have remarkable properties comparable with natural diamond. Because of these properties it is a very promising material for many various applications (sensors, heat sink, optical mirrors, chemical and radiation wear, cold cathodes, tissue engineering, etc.) In this paper we report about design, deposition and measurement of properties of optical planar waveguides fabricated from nanocrystalline diamond thin films. The nanocrystalline diamond planar waveguide was deposited by microwave plasma enhanced chemical vapor deposition and the structure of the deposited film was studied by scanning electron microscopy and Raman spectroscopy. The design of the presented planar waveguides was realized on the bases of modified dispersion equation and was schemed for 632.8 nm, 964 nm, 1 310 nm and 1 550 nm wavelengths. Waveguiding properties were examined by prism coupling technique and it was found that the diamond based planar optical element guided one fundamental mode for all measured wavelengths. Values of the refractive indices of our NCD thin film measured at various wavelengths were almost the same as those of natural diamond.

  8. Status of the R&D activity on diamond particle detectors

    Science.gov (United States)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  9. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  10. Diamond detector technology: status and perspectives

    CERN Document Server

    Kagan, Harris; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H P; Bellini,, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; De Boer, W; Dehning, B; Dorfer, C; Dunser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Mcfadden, N; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Reichmann, M; Riley, G; Roe, S; Sanz, D; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Schreiner, T; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Taylor, Aaron; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, Stephen; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2017-01-01

    The status of material development of poly-crystalline chemical vapor deposition (CVD) diamond is presented. We also present beam test results on the independence of signal size on incident par-ticle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition the first beam test results from 3D detectors made with poly-crystalline CVD diamond are presented. Finally the first analysis of LHC data from the ATLAS Diamond Beam Monitor (DBM) which is based on pixelated poly-crystalline CVD diamond sensors bump-bonded to pixel readout elec-tronics is shown.

  11. Diamond sensors for future high energy experiments

    Energy Technology Data Exchange (ETDEWEB)

    Bachmair, Felix, E-mail: bachmair@phys.ethz.ch

    2016-09-21

    With the planned upgrade of the LHC to High-Luminosity-LHC [1], the general purpose experiments ATLAS and CMS are planning to upgrade their innermost tracking layers with more radiation tolerant technologies. Chemical Vapor Deposition CVD diamond is one such technology. CVD diamond sensors are an established technology as beam condition monitors in the highest radiation areas of all LHC experiments. The RD42-collaboration at CERN is leading the effort to use CVD diamond as a material for tracking detectors operating in extreme radiation environments. An overview of the latest developments from RD42 is presented including the present status of diamond sensor production, a study of pulse height dependencies on incident particle flux and the development of 3D diamond sensors.

  12. Use of the diamond to the detection of particles

    International Nuclear Information System (INIS)

    Mer, C.; Tromson, D.; Brambilla, A.; Foulon, F.; Guizard, B.; Bergonzo

    2001-01-01

    Diamond synthesized by chemical vapor deposition (CVD) is a valuable material for the detection of particles: broad forbidden energy band, high mobility of electron-hole pairs, and a short life-time of charge carriers. Diamond layers have been used in alpha detectors or gamma dose ratemeters designed to be used in hostile environment. Diamond presents a high resistance to radiation and corrosion. The properties of diamond concerning the detection of particles are spoilt by the existence of crystal defects even in high quality natural or synthesized diamond. This article presents recent works that have been performed in CEA laboratories in order to optimize the use of CVD diamond in particle detectors. (A.C.)

  13. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  14. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  15. Diamond-based electrodes for organic photovoltaic devices

    Czech Academy of Sciences Publication Activity Database

    Kovalenko, Alexander; Ashcheulov, Petr; Guerrero, A.; Heinrichová, P.; Fekete, Ladislav; Vala, M.; Weiter, M.; Kratochvílová, Irena; Garcia-Belmonte, G.

    2015-01-01

    Roč. 134, Mar (2015), s. 73-79 ISSN 0927-0248 R&D Projects: GA TA ČR TA04020156 Institutional support: RVO:68378271 Keywords : organic photovoltaic s * boron doped diamond * chemical vapor deposition Subject RIV: JI - Composite Materials Impact factor: 4.732, year: 2015

  16. Status of the R and D activity on diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M. E-mail: bruzzi@fi.infn.it; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-09-21

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 {mu}m charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  17. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  18. Alpha particle response study of polycrstalline diamond radiation detector

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Amit; Topkar, Anita [Electronics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-400085 (India)

    2016-05-23

    Chemical vapor deposition has opened the possibility to grow high purity synthetic diamond at relatively low cost. This has opened up uses of diamond based detectors for wide range of applications. These detectors are most suitable for harsh environments where standard semiconductor detectors cannot work. In this paper, we present the fabrication details and performance study of polycrystalline diamond based radiation detector. Effect of different operating parameters such as bias voltage and shaping time for charge collection on the performance of detector has been studied.

  19. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  20. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  1. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  2. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  3. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  4. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  5. Spatial distributions of H, CN, and C2 in a diamond growing oxyacetylene flame

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements are applied to the chemical vapor deposition (CVD) of diamond by an oxyacetylene flame to visualize the distributions of atomic hydrogen, C2, and CN in the gas phase during diamond growth. Experiments are carried out in laminar flames

  6. Recent results on the development of radiation-hard diamond detectors

    CERN Document Server

    Conway, J S; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Russ, J; Schnetzer, S; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Thomson, G B; Trawick, M; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Charged particle detectors made from chemical vapor deposition (CVD) diamond have radiation hardness greatly exceeding that of silicon- based detectors. The CERN-based RD42 Collaboration has developed and tested CVD diamond microstrip and pixel detectors with an eye to their application in the intense radiation environment near the interaction region of hadron colliders. This paper presents recent results from tests of these detectors. (4 refs).

  7. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  8. Dependence of reaction pressure on deposition and properties of boron-doped freestanding diamond films

    International Nuclear Information System (INIS)

    Li Liuan; Li Hongdong; Lue Xianyi; Cheng Shaoheng; Wang Qiliang; Ren Shiyuan; Liu Junwei; Zou Guangtian

    2010-01-01

    In this paper, we investigate the reaction pressure-dependent growth and properties of boron-doped freestanding diamond films, synthesized by hot filament chemical vapor deposition (HFCVD) at different boron-doping levels. With the decrease in pressure, the growth feature of the films varies from mixed [1 1 1] and [1 1 0] to dominated [1 1 1] texture. The low reaction pressure, as well as high boron-doping level, results in the increase (decrease) of carrier concentration (resistivity). The high concentration of atomic hydrogen in the ambient and preferable [1 1 1] growth, due to the low reaction pressure, is available for the enhancement of boron doping. The estimated residual stress increases with increase in the introducing boron level.

  9. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  10. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  11. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  12. Diamond bio electronics.

    Science.gov (United States)

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  13. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  14. Microcontact printing of monodiamond nanoparticles: an effective route to patterned diamond structure fabrication.

    Science.gov (United States)

    Zhuang, Hao; Song, Bo; Staedler, Thorsten; Jiang, Xin

    2011-10-04

    By combining microcontact printing with a nanodiamond seeding technique, a precise micrometer-sized chemical vapor deposition (CVD) diamond pattern have been obtained. On the basis of the guidance of basic theoretical calculations, monodisperse detonation nanodiamonds (DNDs) were chosen as an "ink" material and oxidized poly(dimethylsiloxane) (PDMS) was selected to serve as a stamp because it features a higher interaction energy with the DNDs compared to that of the original PDMS. The adsorption kinetics shows an approximately exponential law with a maximum surface DND density of 3.4 × 10(10) cm(-2) after 20 min. To achieve a high transfer ratio of DNDs from the PDMS stamp to a silicon surface, a thin layer of poly(methyl methacrylate) (PMMA) was spin coated onto the substrates. A microwave plasma chemical vapor deposition system was used to synthesize the CVD diamond on the seeded substrate areas. Precise diamond patterns with a low expansion ratio (3.6%) were successfully prepared after 1.5 h of deposition. Further increases in the deposition time typically lead to a high expansion rate (∼0.8 μm/h). The general pattern shape, however, did not show any significant change. Compared with conventional diamond pattern deposition methods, the technique described here offers the advantages of being simple, inexpensive, damage-free, and highly compatible, rendering it attractive for a broad variety of industrial applications. © 2011 American Chemical Society

  15. Radiation hard diamond sensors for future tracking applications

    International Nuclear Information System (INIS)

    Adam, W.; Boer, W. de; Borchi, E.

    2006-01-01

    Progress in experimental particle physics in the coming decade depends crucially upon the ability to carry out experiments in high-radiation areas. In order to perform these complex and expensive experiments, new radiation hard technologies must be developed. This paper discusses the use of diamond detectors in future tracking applications and their survivability in the highest radiation environments. We present results of devices constructed with the newest polycrystalline and single crystal Chemical Vapor Deposition diamond and their tolerance to radiation

  16. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  17. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Lohner, T., E-mail: lohner@mfa.kfki.h [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Csikvari, P. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary); Khanh, N.Q. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); David, S. [Department of Electronics Technology, Budapest University of Technology and Economics, H-1111 Budapest, Goldmann Gy. ter 3 (Hungary); Horvath, Z.E.; Petrik, P. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Hars, G. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary)

    2011-02-28

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH{sub 4}, and H{sub 2} gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  18. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    International Nuclear Information System (INIS)

    Lohner, T.; Csikvari, P.; Khanh, N.Q.; David, S.; Horvath, Z.E.; Petrik, P.; Hars, G.

    2011-01-01

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH 4 , and H 2 gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  19. A Review on the Low-Dimensional and Hybridized Nanostructured Diamond Films

    Directory of Open Access Journals (Sweden)

    Hongdong Li

    2015-01-01

    Full Text Available In the last decade, besides the breakthrough of high-rate growth of chemical vapor deposited single-crystal diamonds, numerous nanostructured diamond films have been rapidly developed in the research fields of the diamond-based sciences and industrial applications. The low-dimensional diamonds of two-dimensional atomic-thick nanofilms and nanostructural diamond on the surface of bulk diamond films have been theoretically and experimentally investigated. In addition, the diamond-related hybrid nanostructures of n-type oxide/p-type diamond and n-type nitride/p-type diamond, having high performance physical and chemical properties, are proposed for further applications. In this review, we first briefly introduce the three categories of diamond nanostructures and then outline the current advances in these topics, including their design, fabrication, characterization, and properties. Finally, we address the remaining challenges in the research field and the future activities.

  20. Beneficial effects of laser irradiation on the deposition process of diamond/Ni60 composite coating with cold spray

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Jianhua, E-mail: laser@zjut.edu.cn; Yang, Lijing; Li, Bo; Li, Zhihong

    2015-03-01

    Graphical abstract: - Highlights: • The hard Ni-based alloy powder as matrix in diamond composite coating was studied. • The influence of laser on diamond distribution of composite coating was analyzed. • The graphitization of diamond was prohibited in supersonic laser deposition process. • The abrasion mechanisms of diamond/Ni60 composite coating were discussed. - Abstract: Although cold spray process has many unique advantages over other coating techniques, it has difficulties in depositing hard materials. This article presents a study in the beneficial effects of laser irradiation on the fabrication process of diamond/Ni60 composite coating using cold spray. The focus of this research is on the comparison between the composite coatings produced with laser cladding (LC) and with supersonic laser deposition (SLD), with respect to diamond graphitization and tribological properties, thus to demonstrate the beneficial effects of laser irradiation on the cold spray process. The influence of deposition temperature on the coating characteristics, such as deposition efficiency, diamond volume fraction, microstructure and phase is also investigated. The tribological properties of the diamond/Ni60 composite coating produced with SLD are determined using a pin-on-disc tribometer, along with the diamond/Ni60 coating produced using LC with the optimal process parameters for comparison. The experimental results show that with the assistance of laser irradiation, diamond/Ni60 composite coating can be successfully deposited using cold spray; the obtained coating is superior to that processed with LC, because SLD can suppress the graphitization of the diamond particles. The diamond/Ni60 composite coating fabricated with SLD has much better tribological properties than the LC coating.

  1. Simulation optimization of filament parameters for uniform depositions of diamond films on surfaces of ultra-large circular holes

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xinchang, E-mail: wangxinchangz@163.com; Shen, Xiaotian; Sun, Fanghong; Shen, Bin

    2016-12-01

    Highlights: • A verified simulation model using a novel filament arrangement is constructed. • Influences of filament parameters are clarified. • A coefficient between simulated and experimental results is proposed. • Orthogonal simulations are adopted to optimize filament parameters. • A general filament arrangement suitable for different conditions is determined. - Abstract: Chemical vapor deposition (CVD) diamond films have been widely applied as protective coatings on varieties of anti-frictional and wear-resistant components, owing to their excellent mechanical and tribological properties close to the natural diamond. In applications of some components, the inner hole surface will serve as the working surface that suffers severe frictional or erosive wear. It is difficult to realize uniform depositions of diamond films on surfaces of inner holes, especially ultra-large inner holes. Adopting a SiC compact die with an aperture of 80 mm as an example, a novel filament arrangement with a certain number of filaments evenly distributed on a circle is designed, and specific effects of filament parameters, including the filament number, arrangement direction, filament temperature, filament diameter, circumradius and the downward translation, on the substrate temperature distribution are studied by computational fluid dynamics (CFD) simulations based on the finite volume method (FVM), adopting a modified computational model well consistent with the actual deposition environment. Corresponding temperature measurement experiments are also conducted to verify the rationality of the computational model. From the aspect of depositing uniform boron-doped micro-crystalline, undoped micro-crystalline and undoped fine-grained composite diamond (BDM-UMC-UFGCD) film on such the inner hole surface, filament parameters as mentioned above are accurately optimized and compensated by orthogonal simulations. Moreover, deposition experiments adopting compensated optimized

  2. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  3. Application of diamond window for infrared laser diagnostics in a tokamak device

    International Nuclear Information System (INIS)

    Kawano, Yasunori; Chiba, Shinichi; Inoue, Akira

    2004-01-01

    Chemical vapor deposited diamond disks have been successfully applied as the vacuum windows for infrared CO 2 laser interferometry and polarimetry used in electron density measurement in the JT-60U tokamak. In comparison with the conventional zinc-selenide windows, the Faraday rotation component of diamond windows was negligible. This results in an improvement of the Faraday rotation measurement of tokamak plasma by polarimetry

  4. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  5. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    Energy Technology Data Exchange (ETDEWEB)

    Strąkowska, Paulina [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Beutner, René [Max Bergmann Center, Technische Universität Dresden (Germany); Gnyba, Marcin [Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Zielinski, Andrzej [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Scharnweber, Dieter, E-mail: Dieter.Scharnweber@tu-dresden.de [Max Bergmann Center, Technische Universität Dresden (Germany)

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  6. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    International Nuclear Information System (INIS)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-01-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  7. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    Science.gov (United States)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  8. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  9. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  10. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  11. Infrared absorption study of hydrogen incorporation in thick nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Tang, C.J.; Neves, A.J.; Carmo, M.C.

    2005-01-01

    We present an infrared (IR) optical absorbance study of hydrogen incorporation in nanocrystalline diamond films. The thick nanocrystalline diamond films were synthesized by microwave plasma-assisted chemical vapor deposition and a high growth rate about 3.0 μm/h was achieved. The morphology, phase quality, and hydrogen incorporation were assessed by means of scanning electron microscopy, Raman spectroscopy, and Fourier-transform infrared spectroscopy (FTIR). Large amount of hydrogen bonded to nanocrystalline diamond is clearly evidenced by the huge CH stretching band in the FTIR spectrum. The mechanism of hydrogen incorporation is discussed in light of the growth mechanism of nanocrystalline diamond. This suggests the potential of nanocrystalline diamond for IR electro-optical device applications

  12. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  13. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  14. Influence of boron concentration on growth characteristic and electro-catalytic performance of boron-doped diamond electrodes prepared by direct current plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng Yujie; Lv Jiangwei; Liu Junfeng; Gao Na; Peng Hongyan; Chen Yuqiang

    2011-01-01

    A series of boron-doped diamond (BDD) electrodes were prepared by direct current plasma chemical vapor deposition (DC-PCVD) with different compositions of CH 4 /H 2 /B(OCH 3 ) 3 gas mixture. A maximum growth rate of 0.65 mg cm -2 h -1 was obtained with CH 4 /H 2 /B(OCH 3 ) 3 radio of 4/190/10 and this growth condition was also a turning point for discharge plasma stability which arose from the addition of B(OCH 3 ) 3 that changed electron energy distribution and influenced the plasma reaction. The surface coating structure and electro-catalytic performance of the BDD electrodes were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, Hall test, and electrochemical measurement and electro-catalytic oxidation in phenol solution. It is suggested that the boron doping level and the thermal stress in the films are the main factors affecting the electro-catalytic characteristics of the electrodes. Low boron doping level with CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/199/1 decreased the films electrical conductivity and its electro-catalytic activity. When the carrier concentration in the films reached around 10 20 cm -3 with CH 4 /H 2 /B(OCH 3 ) 3 ratio over a range of 4/195/5-4/185/15, the thermal stress in the films was the key reason that influenced the electro-catalytic activity of the electrodes for its effect on diamond lattice expansion. Therefore, the BDD electrode with modest CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/190/10 possessed the best phenol removal efficiency.

  15. High-Density Near-Field Readout Using Diamond Solid Immersion Lens

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Kondo, Takao; Nakaoki, Ariyoshi; Furuki, Motohiro; Takeda, Minoru; Yamamoto, Masanobu; Schaich, Thomas J.; van Oerle, Bart M.; Godfried, Herman P.; Kriele, Paul A. C.; Houwman, Evert P.; Nelissen, Wim H. M.; Pels, Gert J.; Spaaij, Paul G. M.

    2006-02-01

    We investigated high-density near-field readout using a diamond solid immersion lens (SIL). A synthetic single-crystal chemical vapor deposition diamond provides a high refractive index and a high transmission for a wide wavelength range. Since the refractive index at a wavelength of 405 nm is 2.458, we could design a solid immersion lens with an effective numerical aperture of 2.34. Using the diamond SIL, we observed the eye pattern of a 150-GB-capacity (104.3 Gbit/in.2) disk with a track pitch of 130 nm and a bit length of 47.6 nm.

  16. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  17. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  18. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  19. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  20. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  1. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  2. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  3. Thick Nano-Crystalline Diamond films for fusion applications

    Energy Technology Data Exchange (ETDEWEB)

    Dawedeit, Christoph [Technical Univ. of Munich (Germany)

    2010-06-30

    This Diplomarbeit deals with the characterization of 9 differently grown diamond samples. Several techniques were used to determine the quality of these specimens for inertial confinement fusion targets. The quality of chemical vapor deposition diamond is usually considered in terms of the proportion of sp3-bonded carbon to sp2-bonded carbon in the sample. For fusion targets smoothness, Hydrogen content and density of the diamonds are further important characteristics. These characteristics are analyzed in this thesis. The research for thesis was done at Lawrence Livermore National Laboratory in collaboration with the Fraunhofer Institut für angewandte Festkörperphysik Freiburg, Germany. Additionally the Lehrstuhl fuer Nukleartechnik at Technical University of Germany supported the work.

  4. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  5. Chromium-doped diamond-like carbon films deposited by dual-pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Písařík, Petr; Jelínek, Miroslav; Kocourek, Tomáš; Zezulová, M.; Remsa, Jan; Jurek, Karel

    2014-01-01

    Roč. 117, č. 1 (2014), s. 83-88 ISSN 0947-8396 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : diamond like carbon * chromium * contact angle * surface free energy * dual laser deposition * zeta potential Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.704, year: 2014

  6. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  7. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  8. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  9. The characteristics of ESR and 3-D TL spectra of diamonds

    International Nuclear Information System (INIS)

    Liu Shunsheng; Lu Xu; Fu Huifang

    2003-01-01

    Electron Spin Resonance (ESR) and 3-dimensional Thermoluminescence (3-D TL) spectra of natural diamond, high temperature-high pressure artificial diamond and high temperature-low pressure chemical vapor deposited (CVD) diamond were determined. The characteristics of spectra have been studied. It is found that isolated nitrogen, nitrogen exchange pair and nitrogen atom pair (S=1) are main forms of electron spin resonance nitrogen in natural and high temperature-high pressure artificial diamonds. The spectrum of CVD diamond is sampler, and contains only one peak caused by suspended bond of unsaturated carbon ones. For 3-D TL spectra, natural diamond has two peaks (∼370 nm and ∼510 nm) in 100-200 degree C temperature range, high temperature-high pressure artificial diamond only has ∼370 nm peak, and CVD diamond only has ∼500 nm peak. These characteristics would be useful for the quality inspection and classification of diamonds, as well as for the study of geologic actions associated with natural diamond

  10. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  11. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  12. Raman spectroscopy study of the influence of processing conditions on the structure of polycrystalline diamond films

    International Nuclear Information System (INIS)

    Ramamurti, R.; Shanov, V.; Singh, R.N.; Mamedov, S.; Boolchand, P.

    2006-01-01

    Diamond films are prepared by microwave plasma-enhanced chemical-vapor deposition on Si (100) substrates using the H 2 -Ar-CH 4 gases. Raman scattering data, including the peak position, intensity, area, and width, are analyzed in depth and used to obtain the sp 3 - and sp 2 -bonded carbon contents and the nature of internal stresses in the films. Polarization behavior of the Raman peaks is analyzed to assess its role on the quantitative analysis of the diamond films, which suggested that the 1150 cm -1 Raman peak in nanocrystalline diamond films could be attributed to sp 2 -bonded carbon. The role of the H 2 /Ar content in the gas mixture and substrate temperature on the characteristics of the diamond film is studied. Thickness and grain size of diamond films are also determined by scanning electron microscopy and related to the deposition conditions and Raman results. Deposition conditions, which led to highest sp 3 -bonded carbon content and growth rate, are identified

  13. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  14. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  15. Experimental analysis and theoretical model for anomalously high ideality factors in ZnO/diamond p-n junction diode

    International Nuclear Information System (INIS)

    Wang Chengxin; Yang Guowei; Liu Hongwu; Han Yonghao; Luo Jifeng; Gao Chunxiao; Zou Guangtian

    2004-01-01

    High-quality heterojunctions between p-type diamond single-crystalline films and highly oriented n-type ZnO films were fabricated by depositing the p-type diamond single-crystal films on the I o -type diamond single crystal using a hot filament chemical vapor deposition, and later growing a highly oriented n-type ZnO film on the p-type diamond single-crystal film by magnetron sputtering. Interestingly, anomalously high ideality factors (n>>2.0) in the prepared ZnO/diamond p-n junction diode in the interim bias voltage range were measured. For this, detailed electronic characterizations of the fabricated p-n junction were conducted, and a theoretical model was proposed to clarify the much higher ideality factors of the special heterojunction diode

  16. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  17. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  18. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  19. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH)2 dendritic architectures

    International Nuclear Information System (INIS)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo

    2012-01-01

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH) 2 dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH) 2 nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  20. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  1. Chemically vapor deposited diamond films as dosimetric material for potential clinical applications

    Directory of Open Access Journals (Sweden)

    Kabacińska Renata

    2018-03-01

    Full Text Available Thermally stimulated luminescence (TL, cathodoluminescence (CL and Raman spectroscopy of CVD diamond films grown on silicon substrates have been studied in order to obtain information on defects created during the growth, which induce the levels within the gap. TL between 300 K and 700 K, and CL from 200 nm to 1200 nm have been teasured. The glow curves show a peak located around 610 K with different intensities, depending on the sample thickness, associated with a trap of energy, equal to 0.83 eV and with attempt-to-escape-time of the order of 108 s-1. Broad CL bands observed at 428±1 nm (2.90 ±0.01 eV and 500±1 nm (2.47±0.004 eV are attributed to closely spaced and widely separated donor-acceptor (D-A pairs, respectively. The TL and CL results were correlated with diamond quality estimated from Raman spectroscopy measurements.

  2. High speed dry machining of MMCs with diamond tools

    International Nuclear Information System (INIS)

    Collins, J.L.

    2001-01-01

    The increasing use of metal matrix composites (MMCs) has raised new issues in their machining. Industrial demands for higher speed and dry machining of MMCs with improved component production to closer tolerances have driven the development of new tool materials. In particular, the wear characteristics of synthetic diamond tooling satisfy many of the requirements imposed in cutting these highly abrasive workpieces. The use of diamond tool materials, such as polycrystalline diamond (PCD), has resulted in tool life improvements which, allied with environmental considerations, show great potential for the development of dry cutting. This paper explores the wear characteristics of PCD, which is highly suited to the dry machining of particulate silicon carbide MMCs. Also, two further diamond tool materials are evaluated - chemical vapor deposition (CVD) thick layer diamond and synthetic single crystal diamond. Their suitability for the efficient machining of high volume fraction MMC materials is shown and their potential impact an the subsequent acceptance and integration of MMCs into engineering components is discussed. (author)

  3. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  4. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  5. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  6. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  7. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  8. Microcrystalline diamond cylindrical resonators with quality-factor up to 0.5 million

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Daisuke; Yang, Chen; Lin, Liwei [Department of Mechanical Engineering, University of California, Berkeley, California 94720 (United States); Heidari, Amir [Department of Mechanical and Aerospace Engineering, University of California, Davis, California 95616 (United States); Najar, Hadi [Department of Electrical and Computer Engineering, University of California, Davis, California 95616 (United States); Horsley, David A. [Department of Mechanical and Aerospace Engineering, University of California, Davis, California 95616 (United States); Department of Electrical and Computer Engineering, University of California, Davis, California 95616 (United States)

    2016-02-01

    We demonstrate high quality-factor 1.5 mm diameter batch-fabricated microcrystalline diamond cylindrical resonators (CR) with quality-factors limited by thermoelastic damping (TED) and surface loss. Resonators were fabricated 2.6 and 5.3 μm thick in-situ boron-doped microcrystalline diamond films deposited using hot filament chemical vapor deposition. The quality-factor (Q) of as-fabricated CR's was found to increase with the resonator diameter and diamond thickness. Annealing the CRs at 700 °C in a nitrogen atmosphere led to a three-fold increase in Q, a result we attribute to thinning of the diamond layer via reaction with residual O{sub 2} in the annealing furnace. Post-anneal Q exceeding 0.5 million (528 000) was measured at the 19 kHz elliptical wineglass modes, producing a ring-down time of 8.9 s. A model for Q versus diamond thickness and resonance frequency is developed including the effects of TED and surface loss. Measured quality factors are shown to agree with the predictions of this model.

  9. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  10. Radiation hardness of a single crystal CVD diamond detector for MeV energy protons

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Yuki, E-mail: y.sato@riken.jp [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Shimaoka, Takehiro; Kaneko, Junichi H. [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Murakami, Hiroyuki [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Isobe, Mitsutaka; Osakabe, Masaki [National Institute for Fusion Science, 322-6, Oroshi-cho Toki-city, Gifu 509-5292 (Japan); Tsubota, Masakatsu [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Ochiai, Kentaro [Fusion Research and Development Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Shikata, Shinichi [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2015-06-01

    We have fabricated a particle detector using single crystal diamond grown by chemical vapor deposition. The irradiation dose dependence of the output pulse height from the diamond detector was measured using 3 MeV protons. The pulse height of the output signals from the diamond detector decreases as the amount of irradiation increases at count rates of 1.6–8.9 kcps because of polarization effects inside the diamond crystal. The polarization effect can be cancelled by applying a reverse bias voltage, which restores the pulse heights. Additionally, the radiation hardness performance for MeV energy protons was compared with that of a silicon surface barrier detector.

  11. Tailoring nanocrystalline diamond coated on titanium for osteoblast adhesion.

    Science.gov (United States)

    Pareta, Rajesh; Yang, Lei; Kothari, Abhishek; Sirinrath, Sirivisoot; Xiao, Xingcheng; Sheldon, Brian W; Webster, Thomas J

    2010-10-01

    Diamond coatings with superior chemical stability, antiwear, and cytocompatibility properties have been considered for lengthening the lifetime of metallic orthopedic implants for over a decade. In this study, an attempt to tailor the surface properties of diamond films on titanium to promote osteoblast (bone forming cell) adhesion was reported. The surface properties investigated here included the size of diamond surface features, topography, wettability, and surface chemistry, all of which were controlled during microwave plasma enhanced chemical-vapor-deposition (MPCVD) processes using CH4-Ar-H2 gas mixtures. The hardness and elastic modulus of the diamond films were also determined. H2 concentration in the plasma was altered to control the crystallinity, grain size, and topography of the diamond coatings, and specific plasma gases (O2 and NH3) were introduced to change the surface chemistry of the diamond coatings. To understand the impact of the altered surface properties on osteoblast responses, cell adhesion tests were performed on the various diamond-coated titanium. The results revealed that nanocrystalline diamond (grain sizes diamond and, thus, should be further studied for improving orthopedic applications. Copyright 2010 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2010.

  12. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  13. Selective data analysis for diamond detectors in neutron fields

    Directory of Open Access Journals (Sweden)

    Weiss Christina

    2017-01-01

    Full Text Available Detectors based on synthetic chemical vapor deposition diamond gain importance in various neutron applications. The superior thermal robustness and the excellent radiation hardness of diamond as well as its excellent electronic properties make this material uniquely suited for rough environments, such as nuclear fission and fusion reactors. The intrinsic electronic properties of single-crystal diamond sensors allow distinguishing various interactions in the detector. This can be used to successfully suppress background of γ-rays and charged particles in different neutron experiments, such as neutron flux measurements in thermal nuclear reactors or cross-section measurements in fast neutron fields. A novel technique of distinguishing background reactions in neutron experiments with diamond detectors will be presented. A proof of principle will be given on the basis of experimental results in thermal and fast neutron fields.

  14. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  15. Polycrystalline diamond detectors with three-dimensional electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Lagomarsino, S., E-mail: lagomarsino@fi.infn.it [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Bellini, M. [INO-CNR Firenze, Largo E. Fermi 6, 50125 Firenze (Italy); Brianzi, M. [INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Carzino, R. [Smart Materials-Nanophysics, Istituto Italiano di Tecnologia, Genova, Via Morego 30, 16163 Genova (Italy); Cindro, V. [Joseph Stefan Institute, Jamova Cesta 39, 1000 Ljubljana (Slovenia); Corsi, C. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); LENS Firenze, Via N. Carrara 1, 50019 Sesto Fiorentino (Italy); Morozzi, A.; Passeri, D. [INFN Perugia, Perugia (Italy); Università degli Studi di Perugia, Dipartimento di Ingegneria, via G. Duranti 93, 06125 Perugia (Italy); Sciortino, S. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Servoli, L. [INFN Perugia, Perugia (Italy)

    2015-10-01

    The three-dimensional concept in diamond detectors has been applied, so far, to high quality single-crystal material, in order to test this technology in the best available conditions. However, its application to polycrystalline chemical vapor deposited diamond could be desirable for two reasons: first, the short inter-electrode distance of three-dimensional detectors should improve the intrinsically lower collection efficiency of polycrystalline diamond, and second, at high levels of radiation damage the performances of the poly-crystal material are not expected to be much lower than those of the single crystal one. We report on the fabrication and test of three-dimensional polycrystalline diamond detectors with several inter-electrode distances, and we demonstrate that their collection efficiency is equal or higher than that obtained with conventional planar detectors fabricated with the same material. - Highlights: • Pulsed laser fabrication of polycristalline diamond detectors with 3D electrodes. • Measurement of the charge collection efficiency (CCE) under beta irradiation. • Comparation between the CCE of 3D and conventional planar diamond sensors. • A rationale for the behavior of three-dimensional and planar sensors is given.

  16. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  17. Si-related color centers in nanocrystalline diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Holovský, Jakub; Remeš, Zdeněk; Müller, Martin; Kočka, Jan; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2603-2606 ISSN 0370-1972 R&D Projects: GA TA ČR TA01011740; GA ČR(CZ) GA14-04790S; GA MŠk LH12186 Institutional support: RVO:68378271 Keywords : chemical vapor deposition * color center * diamond * photoluminescence * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.489, year: 2014

  18. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.J., E-mail: lixj@alum.imr.ac.cn [College of Material Science and Engineering, Key Laboratory of Advanced Structural Materials, Ministry of Education, Changchun University of Technology, Changchun, 130012 (China); He, L.L., E-mail: llhe@imr.ac.cn [Shenyang National Lab of Materials Science, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Li, Y.S. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Hirose, A. [Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada)

    2017-01-15

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  19. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    International Nuclear Information System (INIS)

    Li, X.J.; He, L.L.; Li, Y.S.; Yang, Q.; Hirose, A.

    2017-01-01

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  20. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH){sub 2} dendritic architectures

    Energy Technology Data Exchange (ETDEWEB)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo [Korea University, Seoul (Korea, Republic of)

    2012-03-15

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH){sub 2} dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH){sub 2} nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  1. Influence of gas chemistry on Si-V color centers in diamond films

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Ižák, Tibor; Varga, Marián; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2580-2584 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GA14-04790S Institutional support: RVO:68378271 Keywords : chemical vapor deposition * diamond * photoluminescence * plasma * silicon optical centers Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  2. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  3. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  4. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  5. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  6. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  7. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  8. Spray-loading: A cryogenic deposition method for diamond anvil cell

    Science.gov (United States)

    Scelta, Demetrio; Ceppatelli, Matteo; Ballerini, Riccardo; Hajeb, Ahmed; Peruzzini, Maurizio; Bini, Roberto

    2018-05-01

    An efficient loading technique has been developed for flammable, toxic, or explosive gases which can be condensed at liquid nitrogen temperature and ambient pressure in membrane diamond anvil cells (DACs). This cryogenic technique consists in a deposition of small quantities of the desired gas directly into the sample chamber. The deposition is performed using a capillary that reaches the space between the diamond anvils. The DAC is kept under inert gas overpressure during the whole process, in order to avoid contamination from atmospheric O2, CO2, and H2O. This technique provides significant advantages over standard cryo-loading and gas-loading when the condensation of dangerous samples at liquid nitrogen temperature raises safety concerns because it allows dealing with minimum quantities of condensed gases. The whole procedure is particularly fast and efficient. The "spray-loading" has been successfully used in our laboratory to load several samples including acetylene, ammonia, ethylene, and carbon dioxide/water or red phosphorus/NH3 mixtures.

  9. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  10. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  11. Microwave PECVD of nanocrystalline diamond with rf induced bias nucleation

    Czech Academy of Sciences Publication Activity Database

    Frgala, Z.; Jašek, O.; Karásková, M.; Zajíčková, L.; Buršíková, V.; Franta, D.; Matějková, Jiřina; Rek, Antonín; Klapetek, P.; Buršík, Jiří

    2006-01-01

    Roč. 56, Suppl. B (2006), s. 1218-1223 ISSN 0011-4626 R&D Projects: GA ČR(CZ) GA202/05/0607 Institutional research plan: CEZ:AV0Z20650511; CEZ:AV0Z20410507 Keywords : nanocrystalline diamond * plasma enhanced chemical vapor deposition * self-bias Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.568, year: 2006

  12. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.; Prawer, S.; Legge, G.J.F.; Kostidis, L.I. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  13. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A; Prawer, S; Legge, G J.F.; Kostidis, L I [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  14. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  15. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  16. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Z., E-mail: remes@fzu.cz [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A. [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Girard, H.A.; Arnault, J.-C.; Bergonzo, P. [CEA, LIST, Diamond Sensors Laboratory, F-91191 Gif-sur-Yvette (France)

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000–1500 cm{sup −1} spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  17. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Science.gov (United States)

    Remes, Z.; Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A.; Girard, H. A.; Arnault, J.-C.; Bergonzo, P.

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000-1500 cm-1 spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  18. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  19. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  20. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  1. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  2. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  3. Comparison of diamond growth with different gas mixtures in microwave plasma asssited chemical vapor deposition (MWCVD

    Directory of Open Access Journals (Sweden)

    Corat Evaldo J.

    2003-01-01

    Full Text Available In this work we study the influence of oxygen addition to several halocarbon-hydrogen gas systems. Diamond growth have been performed in a high power density MWCVD reactor built in our laboratory. The growth experiments are monitored by argon actinometry as a reference to plasma temperature and atomic hydrogen production, and by mass spectrometry to compare the exhaust gas composition. Atomic hydrogen actinometry revealed that the halogen presence in the gas phase is responsible for a considerable increase of atomic hydrogen concentration in the gas phase. Mass spectrometry shows similar results for all gas mixtures tested. Growth studies with oxygen addition to CF4/H2, CCl4/H2, CCl2F2/H2 and CH3Cl/H2 reveals that oxygen increases the carbon solubility in the gas phase but no better diamond growth conditions were found. Halogens are not, per se, eligible for diamond growth. All the possible advantages, as the higher production of atomic hydrogen, have been suppressed by the low carbon solubility in the gas phase, even when oxygen is added. The diamond growth with small amount of CF4 added to CH4/H2 mixture is not aggressive to the apparatus but brings several advantages to the process.

  4. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    International Nuclear Information System (INIS)

    Wang, Xiaoping; Wang, Jinye; Wang, Lijun

    2016-01-01

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibits the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm"2 at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.

  5. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  6. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  7. Investigation of electrically-active deep levels in single-crystalline diamond by particle-induced charge transient spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Kada, W., E-mail: kada.wataru@gunma-u.ac.jp [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Kambayashi, Y.; Ando, Y. [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Onoda, S. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Umezawa, H.; Mokuno, Y. [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan); Shikata, S. [Kwansei Gakuin Univ., 2-1, Gakuen, Mita, Hyogo 669-1337 (Japan); Makino, T.; Koka, M. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Hanaizumi, O. [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Kamiya, T.; Ohshima, T. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan)

    2016-04-01

    To investigate electrically-active deep levels in high-resistivity single-crystalline diamond, particle-induced charge transient spectroscopy (QTS) techniques were performed using 5.5 MeV alpha particles and 9 MeV carbon focused microprobes. For unintentionally-doped (UID) chemical vapor deposition (CVD) diamond, deep levels with activation energies of 0.35 eV and 0.43 eV were detected which correspond to the activation energy of boron acceptors in diamond. The results suggested that alpha particle and heavy ion induced QTS techniques are the promising candidate for in-situ investigation of deep levels in high-resistivity semiconductors.

  8. Isotopically Enriched C-13 Diamond Anvil as a Stress Sensor in High Pressure Experiments

    Science.gov (United States)

    Vohra, Yogesh; Qiu, Wei; Kondratyev, Andreiy; Velisavljevic, Nenad; Baker, Paul

    2004-03-01

    The conventional high pressure diamond anvils were modified by growing an isotopically pure C-13 diamond layer by microwave plasma chemical vapor deposition using methane/hydrogen/oxygen chemistry. The isotopically pure C-13 nature of the culet of the diamond anvil was confirmed by the Raman spectroscopy measurements. This isotopically engineered diamond anvil was used against a natural abundance diamond anvil for high pressure experiments in a diamond anvil cell. Spatial resolved Raman spectroscopy was used to measure the stress induced shift in the C-13 layer as well as the undelying C-12 layer to ultra high pressures. The observed shift and splitiing of the diamond first order Raman spectrum was correlated with the stress distribution in the diamond anvil cell. The experimental results will be compared with the finite element modeling results using NIKE-2D software in order to create a mathematical relationship between sets of the following parameters: vertical (z axis) distance; horizontal (r axis) distance; max shear stress, and pressure. The isotopically enriched diamond anvils offer unique opportunities to measure stress distribution in the diamond anvil cell devices.

  9. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  10. A multilayer innovative solution to improve the adhesion of nanocrystalline diamond coatings

    Energy Technology Data Exchange (ETDEWEB)

    Poulon-Quintin, A., E-mail: poulon@icmcb-bordeaux.cnrs.fr [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Faure, C.; Teulé-Gay, L.; Manaud, J.P. [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France)

    2015-03-15

    Highlights: • Improvement of the NCD adhesion on WC-12%Co substrates for tooling applications using a multi-interlayer additional system. • Reduction of the graphite layer thickness and continuity at the interface with the diamond. • Transmission electron microscopy study for a better understanding of the diffusion phenomena occurring at the interfaces. - Abstract: Nano-crystalline diamond (NCD) films grown under negative biased substrates by chemical vapor deposition (CVD) are widely used as surface overlay coating onto cermet WC-Co cutting tools to get better performances. To improve the diamond adhesion to the cermet substrate, suitable multi-layer systems have been added. They are composed of a cobalt diffusion barrier close to the substrate (single and sequenced nitrides layers) coated with a nucleation extra layer to improve the nucleus density of diamond during CVD processing. For all systems, before and after diamond deposition, transmission electron microscopy (TEM) has been performed for a better understanding of the diffusion phenomena occurring at the interfaces and to evaluate the presence of graphitic species at the interface with the diamond. Innovative multilayer system dedicated to the regulation of cobalt diffusion coated with a bilayer system optimized for the carbon diffusion control, is shown as an efficient solution to significantly reduce the graphite layer formation at the interface with the diamond down to 10 nm thick and to increase the adhesion of NCD diamond layer as scratch-tests confirm.

  11. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  12. Regeneration of FBGs during the HFCVD diamond-fiber coating process

    Science.gov (United States)

    Alberto, Nélia J.; Kalinowski, Hypolito J.; Neto, Victor F.; Nogueira, Rogério N.

    2014-08-01

    In this work, the regeneration of saturated fiber Bragg gratings during the diamond coating of the fiber is presented. Due to the high temperatures characteristic of the hot filament chemical vapor deposition (HFCVD) process (around 800 ºC), uniform fiber Bragg gratings (FBGs) are not appropriate to be coated. Nevertheless, regenerated Bragg gratings are a suitable solution for this drawback. Its production process involves the inscription of a saturated FBG followed by a time consuming heat treatment. Here it is proposed to take advantage of the high temperatures characteristic of the HFCVD process to simultaneous regenerate the grating and coat the fiber with diamond.

  13. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  14. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  15. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    Science.gov (United States)

    Catledge, Shane Aaron

    The primary focus of this dissertation is the investigation of the processing-structure-property relationships of diamond films deposited on Ti-6Al-4V alloy by microwave plasma chemical vapor deposition (MPCVD). By depositing a well-adhered protective layer of diamond on an alloy component, its hardness, wear-resistance, performance, and overall lifetime could be significantly increased. However, due to the large thermal expansion mismatch between the diamond film and metal (and the corresponding residual stress induced in the film), film adhesion is typically unsatisfactory and often results in immediate delamination after processing. Therefore, it is a major goal of this research to improve adhesion of the diamond film to the alloy substrate. Through the use of innovative processing techniques involving MPCVD deposition conditions and methane (CH4), nitrogen (N2), and hydrogen (H2) chemistry, we have achieved diamond films which consistently adhere to the alloy substrate. In addition, we have discovered that, with the appropriate choice of deposition conditions, the film structure can be tailored to range from highly crystalline, well-faceted diamond to nanocrystalline diamond with extremely low surface roughness (as low as 27 nm). The relationship between processing and structure was studied using in-situ optical emission spectroscopy, micro-Raman spectroscopy, surface profilometry, glancing-angle x-ray diffraction, and scanning electron microscopy. We observe that when nitrogen is added to the H2/CH4 feedgas mixture, a carbon-nitrogen (CN) emission band arises and its relative abundance to the carbon dimer (C2) gas species is shown to have a pronounced influence on the diamond film structure. By appropriate choice of deposition chemistry and conditions, we can tailor the diamond film structure and its corresponding properties. The mechanical properties of interest in this thesis are those relating to the integrity of the film/substrate interface, as well as the

  16. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  17. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  18. Spallation Neutron Source SNS Diamond Stripper Foil Development

    International Nuclear Information System (INIS)

    Shaw, Robert W.; Plum, Michael A.; Wilson, Leslie L.; Feigerle, Charles S.; Borden, Michael J.; Irie, Y.; Sugai, I.; Takagi, A.

    2007-01-01

    Diamond stripping foils are under development for the SNS. Freestanding, flat 300 to 500 (micro)g/cm 2 foils as large as 17 x 25 mm 2 have been prepared. These nano-textured polycrystalline foils are grown by microwave plasma-assisted chemical vapor deposition in a corrugated format to maintain their flatness. They are mechanically supported on a single edge by a residual portion of their silicon growth substrate; fine foil supporting wires are not required for diamond foils. Six foils were mounted on the SNS foil changer in early 2006 and have performed well in commissioning experiments at reduced operating power. A diamond foil was used during a recent experiment where 15 (micro)C of protons, approximately 64% of the design value, were stored in the ring. A few diamond foils have been tested at LANSCE/PSR, where one foil was in service for a period of five months (820 C of integrated injected charge) before it was replaced. Diamond foils have also been tested in Japan at KEK (640 keV H - ) where their lifetimes slightly surpassed those of evaporated carbon foils, but fell short of those for Sugai's new hybrid boron carbon (HBC) foils.

  19. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  20. Thermal, structural, and fabrication aspects of diamond windows for high power synchrotron x-ray beamlines

    International Nuclear Information System (INIS)

    Khounsary, A.M.; Phillips, W.

    1992-01-01

    Recent advances in chemical vapor deposition (CVD) technology have made it possible to produce thin free-standing diamond foils that can be used as the window material in high heat load, synchrotron beamlines. Numerical simulations suggest that these windows can offer an attractive and at times the only altemative to beryllium windows for use in third generation x-ray synchrotron radiation beamlines. Utilization, design, and fabrication aspects of diamond windows for high heat load x-ray beamlines are discussed, as are the microstructure characteristics bearing on diamond's performance in this role. Analytic and numerical results are also presented to provide a basis for the design and testing of such windows

  1. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  2. Measurement of barrier height of Pd on diamond (100) surface by X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Li, F.N. [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China); Nation Key Laboratory of ASIC, HSRI, Shijiazhuang 050051 (China); Liu, J.W. [International Center for Young Scientists, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 3050044 (Japan); Zhang, J.W.; Wang, X.L.; Wang, W.; Liu, Z.C. [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China); Wang, H.X., E-mail: hxwangcn@mail.xjtu.edu.cn [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China)

    2016-05-01

    Highlights: • Metal-semiconductor contacts of Pd/hydrogen-terminated diamond and Pd/oxygen-terminated diamond have been investigated by XPS measurements. • The barrier height for Pd/hydrogen-terminated diamond (ohmic contact) has been measured to be −0.27 eV. • The barrier height for Pd/oxygen-terminated diamond (Schottky contact) has been measured to be 1.73 eV. - Abstract: Barrier height (Φ{sub BH}) values for Pd/hydrogen-terminated diamond (H-diamond) and Pd/oxygen-terminated diamond (O-diamond) have been investigated by X-ray photoelectron spectroscopy technique. H-diamond and O-diamond have been formed on the same diamond (100) layer grown by microwave plasma-enhanced chemical vapor deposition,on which Pd layers have been evaporated. The Φ{sub BH} values for Pd/H-diamond and Pd/O-diamond are determined to be −0.27 eV and 1.73 eV, respectively. It indicates that Pd is a suitable metal for ohmic and Schottky contacts on H-diamond and O-diamond, respectively. The experimental Φ{sub BH} values are in good agreement with the theoretical calculation results.

  3. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    International Nuclear Information System (INIS)

    Mengui, U.A.; Campos, R.A.; Alves, K.A.; Antunes, E.F.; Hamanaka, M.H.M.O.; Corat, E.J.; Baldan, M.R.

    2015-01-01

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films

  4. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    Energy Technology Data Exchange (ETDEWEB)

    Mengui, U.A., E-mail: ursulamengui@gmail.com [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Campos, R.A.; Alves, K.A.; Antunes, E.F. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Hamanaka, M.H.M.O. [Centro de Tecnologia da Informação Renato Archer, Divisão de Superfícies de Interação e Displays, Rodovia D. Pedro I (SP 65) km 143.6, CP 6162, CEP 13089-500, Campinas, SP (Brazil); Corat, E.J.; Baldan, M.R. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil)

    2015-04-15

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films.

  5. Simulations, fabrication and characterization of diamond coated Love wave-type SAW sensors

    Czech Academy of Sciences Publication Activity Database

    Talbi, A.; Soltani, A.; Rumeau, A.; Taylor, Andrew; Drbohlavová, L.; Klimša, Ladislav; Kopeček, Jaromír; Fekete, Ladislav; Krečmarová, Marie; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), 2606-2610 ISSN 1862-6300 R&D Projects: GA MŠk LO1409; GA MŠk(CZ) LM2011029; GA ČR GA13-31783S Institutional support: RVO:68378271 Keywords : acoustic sensors * chemical vapor deposition * diamond * nanocrystalline materials * quartz * surface acoustic waves Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  6. Thermoluminescent dosimetry and of optically stimulated luminescence of diamond films grown up by the chemical vapor deposition technique exposed to beta radiation

    International Nuclear Information System (INIS)

    Melendrez A, R.; Barboza F, M.

    2002-01-01

    A study of the dosimetric properties through the thermoluminescence (Tl) and Optically stimulated luminescence (Lobe) in diamond films grown up by the chemical vapor deposition (Dq) techniques was realized.The films under study have thickness of 6, 12, 180 and 500 microns. The dose range was from 0 to 1.5 KGy, observing for the case of the thermoluminescent dosimetry a linear behavior in the range 0-300 Gy and a supra linearity effect in the range from 300-1500 Gy. For the case of the dosimetry by means of LOE a linear behavior in the range (0-300 Gy) without be enough for the saturation was observed, although some samples exhibit a linear behavior until 1500 Gy (6 microns). The irradiation was realized with a source of Strontium 90 of (40 mCi) and the photoestimulation for realizing the measures of LOE was realized using diodes emitting of laser light (470 nm) which generate until 50 MW/cm 2 . The Tl peak which was used to realize the dosimetry such Tl as LOE was that located around 340 C degrees in the brilliance curve which presents another peaks centered around of 110, 190, and 340 C degrees, depending on the film. It was realized a study of the Tl signal drop and it was observed that after 3 hours the signal was stable reaching a decay of 15 %. the analysis of the drop in the Tl signal, immediately after to irradiate and after to photoestimulate with the blue light laser for observing the LOE, indicated that exists a fall in all the Tl peaks, decaying in greater proportion those of more low temperature. (Author)

  7. Effects of pretreatment processes on improving the formation of ultrananocrystalline diamond

    International Nuclear Information System (INIS)

    Chen, Li-Ju; Tai, Nyan-Hwa; Lee, Chi-Young; Lin, I-Nan.

    2007-01-01

    Effects of pretreatment on the nuclei formation of ultrananocrystalline diamond (UNCD) on Si substrates were studied. Either precoating a thin layer of titanium (∼400 nm) or ultrasonication pretreatment using diamond and titanium mixed powder (D and T process) enhances the nucleation process on Si substrates markedly, and the UNCD nuclei formed and fully covered the Si substrate, when deposition was processed using the microwave-plasma-enhanced chemical-vapor deposition process for 10 min. In contrast, during the same period, ultrasonication pretreatment using diamond powders (D process) can only form large UNCD clusters, which were scarcely distributed on Si substrates. The analyses using x-ray diffractometer, secondary ion mass spectroscopy, and electron spectroscopy for chemical analysis reveal that the titanium layer reacted with carbon species in the plasma, forming crystalline TiC phase, which facilitates the subsequent formation of UNCD nuclei. The beneficial effect of Ti layer on enhancing the nucleation of UNCD is presumably owing to high solubility and high diffusivity of carbon species in Ti materials, as compared with those of Si materials

  8. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  9. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  10. Fabrication of a miniature diamond grinding tool using a hybrid process of micro-EDM and co-deposition

    International Nuclear Information System (INIS)

    Chen, Shun-Tong; Lai, Yun-Cheng; Liu, Ching-Chang

    2008-01-01

    A novel miniature diamond grinding tool usable for the precise micro-grinding of miniature parts is presented. A hybrid process that combines 'micro-EDM' with 'precision co-deposition' is proposed. The metal substrate is micro-EDMed to a 50 µm diameter and micro diamonds with 0–2 µm grains are 'electroformed' on the substrate surface, producing a miniature multilayered grinding tool. Nickel and diamond act as binders and cutters, respectively. A partition plate with an array of drilled holes is designed to ensure good convection in the electroforming solution. The dispersion of diamond grains and displacement of nickel ions are noticeably improved. A miniature funnel mould enables the diamond grains to converge towards the cathode to increase their deposition probability on the substrate, thereby improving their distribution on the substrate surface. A micro ZrO 2 ceramic ferrule is finely ground by the developed grinding tool and then yields a surface roughness of R a = 0.085 µm. The proposed approach is applied during the final machining process

  11. Soft X-ray angle-resolved photoemission spectroscopy of heavily boron-doped superconducting diamond films

    Directory of Open Access Journals (Sweden)

    T. Yokoya, T. Nakamura, T. Matushita, T. Muro, H. Okazaki, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, Y. Takano, M. Nagao, T. Takenouchi, H. Kawarada and T. Oguchi

    2006-01-01

    Full Text Available We have performed soft X-ray angle-resolved photoemission spectroscopy (SXARPES of microwave plasma-assisted chemical vapor deposition diamond films with different B concentrations in order to study the origin of the metallic behavior of superconducting diamond. SXARPES results clearly show valence band dispersions with a bandwidth of ~23 eV and with a top of the valence band at gamma point in the Brillouin zone, which are consistent with the calculated valence band dispersions of pure diamond. Boron concentration-dependent band dispersions near the Fermi level (EF exhibit a systematic shift of EF, indicating depopulation of electrons due to hole doping. These SXARPES results indicate that diamond bands retain for heavy boron doping and holes in the diamond band are responsible for the metallic states leading to superconductivity at low temperature. A high-resolution photoemission spectroscopy spectrum near EF of a heavily boron-doped diamond superconductor is also presented.

  12. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  13. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  14. Synthesis of diamond-like carbon via PECD using a streaming neutral gas injection hollow cathode

    International Nuclear Information System (INIS)

    Pacho, A.; Pares, E.; Ramos, H.; Mendenilla, A.; Malapit, G.

    2009-01-01

    A streaming neutral gas injection hollow cathode system was used to deposit diamond-like carbon films via plasma enhanced chemical vapor deposition on silicon and nickel-coated silicon substrates with acetylene and hydrogen as reactant gases. Samples were characterized using SEM and Raman spectroscopy. The work presented here aims to demonstrate the capability of the system to synthesize carbonaceous films and is starting point towards work on formation of carbon nanostructures. (author)

  15. New route to the fabrication of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Varshney, Deepak; Morell, Gerardo; Palomino, Javier; Resto, Oscar; Gil, Jennifer; Weiner, Brad R.

    2014-01-01

    Nanocrystalline diamond (NCD) thin films offer applications in various fields, but the existing synthetic approaches are cumbersome and destructive. A major breakthrough has been achieved by our group in the direction of a non-destructive, scalable, and economic process of NCD thin-film fabrication. Here, we report a cheap precursor for the growth of nanocrystalline diamond in the form of paraffin wax. We show that NCD thin films can be fabricated on a copper support by using simple, commonplace paraffin wax under reaction conditions of Hot Filament Chemical Vapor Deposition (HFCVD). Surprisingly, even the presence of any catalyst or seeding that has been conventionally used in the state-of-the-art is not required. The structure of the obtained films was analyzed by scanning electron microscopy and transmission electron microscopy. Raman spectroscopy and electron energy-loss spectroscopy recorded at the carbon K-edge region confirm the presence of nanocrystalline diamond. The process is a significant step towards cost-effective and non-cumbersome fabrication of nanocrystalline diamond thin films for commercial production

  16. Effect of substrates on tribological properties of diamond-like carbon coating

    Directory of Open Access Journals (Sweden)

    Renhui ZHANG

    2017-06-01

    Full Text Available In order to well investigate the effect of different substrates on the friction and wear of diamond-like carbon (DLC coating, the DLC coatings are deposited on substrates like the high-speed steel (HSS, SiC and 304 stainless steel by using plasma enhanced chemical vapor deposition method. The diamond-like carbon is prepared. The microstructure of the coatings is characterized using SEM, TEM and Raman. The SEM results exhibit that the total thickness of the coatings is about 6.5 μm, and there's apparent interfaces between layers. The TEM results imply that the coatings have an amorphous structure. Raman spectrum exhibits that G and D peaks are observed, which implies that the deposition coatings are diamond-like carbon coating. The results of tribological tests show that the substrates have a significant effect on the friction and wear of the coating. For different substrates, the transfer film is found on the steel counterpart surface, the wear track of the HSS has a lowest width, and the DLC coating that deposited on HSS exhibits the lowest wear and low friction coefficient (about 0.1.The microstructure of different substrates wear track surfaces is analyzed by using Raman spectrum, and the lowest wear of the HSS is attributed to the lower degree of the graphitization. The research provides reference for preparing the DLC coating with excellent tribological properties.

  17. A methodological toolkit for field assessments of artisanally mined alluvial diamond deposits

    Science.gov (United States)

    Chirico, Peter G.; Malpeli, Katherine C.

    2014-01-01

    This toolkit provides a standardized checklist of critical issues relevant to artisanal mining-related field research. An integrated sociophysical geographic approach to collecting data at artisanal mine sites is outlined. The implementation and results of a multistakeholder approach to data collection, carried out in the assessment of Guinea’s artisanally mined diamond deposits, also are summarized. This toolkit, based on recent and successful field campaigns in West Africa, has been developed as a reference document to assist other government agencies or organizations in collecting the data necessary for artisanal diamond mining or similar natural resource assessments.

  18. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  19. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  20. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  1. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  2. Fano factor evaluation of diamond detectors for alpha particles

    Energy Technology Data Exchange (ETDEWEB)

    Shimaoka, Takehiro; Kaneko, Junichi H.; Tsubota, Masakatsu; Shimmyo, Hiroaki [Graduate School of Engineering, Hokkaido University, Kita 13, Nishi 8, Kita-ku, Sapporo, Hokkaido, 060-8628 (Japan); Sato, Yuki [Naraha Remote Technology Development Center, Japan Atomic Energy Agency, Naraha-machi, Futaba-gun, Fukushima, 979-0513 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Mokuno, Yoshiaki [Advanced Power Electronics Research Center, National Institute of Advanced Industrial Science and Technology, 1-8-31 Midorigaoka, Ikeda, Osaka, 563-8577 (Japan); Watanabe, Hideyuki [Research Institute for Electronics and Photonics, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, 305-8565 (Japan)

    2016-10-15

    This report is the first describing experimental evaluation of Fano factor for diamond detectors. High-quality self-standing chemical vapor deposited diamond samples were produced using lift-off method. Alpha-particle induced charge measurements were taken for three samples. A 13.1 ±0.07 eV of the average electron-hole pair creation energy and excellent energy resolution of approximately 0.3% were found for 5.486 MeV alpha particles from an {sup 241}Am radioactive source. The best Fano factor for 5.486 MeV alpha particles, calculated from experimentally obtained epsilon values and the detector intrinsic energy resolution, was 0.382 ± 0.007. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  4. Micro-Raman Analysis of Irradiated Diamond Films

    Science.gov (United States)

    Newton, Robert L.

    2003-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies, even in Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (10(exp 15) - 10(exp 17) H(+)/sq cm doses) irradiated chemical vapor deposited (CVD) films are presented and indicate that their microstructure is retained even after high radiation exposure.

  5. Raman Microscopic Analysis of Internal Stress in Boron-Doped Diamond

    Directory of Open Access Journals (Sweden)

    Kevin E. Bennet

    2015-05-01

    Full Text Available Analysis of the induced stress on undoped and boron-doped diamond (BDD thin films by confocal Raman microscopy is performed in this study to investigate its correlation with sample chemical composition and the substrate used during fabrication. Knowledge of this nature is very important to the issue of long-term stability of BDD coated neurosurgical electrodes that will be used in fast-scan cyclic voltammetry, as potential occurrence of film delaminations and dislocations during their surgical implantation can have unwanted consequences for the reliability of BDD-based biosensing electrodes. To achieve a more uniform deposition of the films on cylindrically-shaped tungsten rods, substrate rotation was employed in a custom-built chemical vapor deposition reactor. In addition to visibly preferential boron incorporation into the diamond lattice and columnar growth, the results also reveal a direct correlation between regions of pure diamond and enhanced stress. Definite stress release throughout entire film thicknesses was found in the current Raman mapping images for higher amounts of boron addition. There is also a possible contribution to the high values of compressive stress from sp2 type carbon impurities, besides that of the expected lattice mismatch between film and substrate.

  6. Characterization of diamond thin films deposited by a CO{sub 2} laser-assisted combustion-flame method

    Energy Technology Data Exchange (ETDEWEB)

    McKindra, Travis, E-mail: mckindra@mst.edu [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O' Keefe, Matthew J. [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Xie Zhiqiang; Lu Yongfeng [Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States)

    2010-06-15

    Diamond thin films were deposited by a CO{sub 2} laser-assisted O{sub 2}/C{sub 2}H{sub 2}/C{sub 2}H{sub 4} combustion-flame process. The effect of the deposition parameters, in particular the laser wavelength and power, on the film surface morphology, microstructure and phases present was the primary focus of the work. The laser power was set at 100, 400 and 800 W while the wavelength was varied and set at 10.591 {mu}m in the untuned condition and set at 10.532 {mu}m to resonantly match the CH{sub 2}-wagging vibrational mode of the C{sub 2}H{sub 4} molecule when in the tuned condition. When the laser was coupled to the combustion flame during deposition the diamond film growth was enhanced as the lateral grain size increased from 1 {mu}m to greater than 5 {mu}m. The greatest increase in grain size occurred when the wavelength was in the tuned condition. Scanning transmission electron microscopy images from focused-ion beam cross-sectioned samples revealed a sub-layer of smaller grains less than 1 {mu}m in size near the substrate surface at the lower laser powers and untuned wavelength. X-ray diffraction results showed a more intense Diamond (111) peak as the laser power increased from 100 to 800 W for the films deposited with the tuned laser wavelength. Micro-Raman spectra showed a diamond peak nearly twice as intense from the films with the tuned laser wavelength.

  7. Memory effect in the deposition of C20 fullerenes on a diamond surface

    Science.gov (United States)

    Du, A. J.; Pan, Z. Y.; Ho, Y. K.; Huang, Z.; Zhang, Z. X.

    2002-07-01

    In this paper, the deposition of C20 fullerenes on a diamond (001)-(2×1) surface and the fabrication of C20 thin film at 100 K were investigated by a molecular dynamics (MD) simulation using the many-body Brenner bond order potential. First, we found that the collision dynamic of a single C20 fullerene on a diamond surface was strongly dependent on its impact energy. Within the energy range 10-45 eV, the C20 fullerene chemisorbed on the surface retained its free cage structure. This is consistent with the experimental observation, where it was called the memory effect in ``C20-type'' films [P. Melion et al., Int. J. Mod. B 9, 339 (1995); P. Milani et al., Cluster Beam Synthesis of Nanostructured Materials (Springer, Berlin, 1999)]. Next, more than one hundred C20 (10-25 eV) were deposited one after the other onto the surface. The initial growth stage of C20 thin film was observed to be in the three-dimensional island mode. The randomly deposited C20 fullerenes stacked on diamond surface and acted as building blocks forming a polymerlike structure. The assembled film was also highly porous due to cluster-cluster interaction. The bond angle distribution and the neighbor-atom-number distribution of the film presented a well-defined local order, which is of sp3 hybridization character, the same as that of a free C20 cage. These simulation results are again in good agreement with the experimental observation. Finally, the deposited C20 film showed high stability even when the temperature was raised up to 1500 K.

  8. Diamond film deposition on WC–Co and steel substrates with a CrN interlayer for tribological applications

    International Nuclear Information System (INIS)

    Chandran, Maneesh; Hoffman, Alon

    2016-01-01

    The most renowned property of diamond is its exceptional hardness. By depositing diamond films on tungsten carbide (WC–Co) and steel substrates, the hardness of diamond can be combined with the toughness of these materials, resulting in an excellent wear resistance material for tribological applications. However, poor adhesion of diamond coating on these substrates leads to a lesser lifetime for the diamond coated tools than expected. The prime reasons for the lack of proper adhesion are the preferential formation of graphitic layer at the interface due to the catalytic activities of cobalt/iron and the interfacial residual stresses due to the mismatch in thermal expansion coefficients of diamond (1.5  ×  10 −6 K −1 ) and WC–Co (5.2  ×  10 −6 K −1 ) or steel (12  ×  10 −6 K −1 ). In this review, we discuss the possibility of using a Cr–N interlayer as a diffusion barrier to prevent the catalytic activities of cobalt/iron and also to relax the interfacial residual stresses to some extent to enhance the adhesion of diamond coatings on these substrates. An overview of the most pertinent results of the last two decades, including the recent progress is introduced. We describe in detail how the Cr–N interlayer with the desired properties is fabricated. We give a concise overview of diamond deposition process, including the methods to vary the grain size from microcrystalline to nanocrystalline, which are suitable for some tribological applications. We describe in detail on surface and interface analysis, residual stress measurements, assessment adhesion strength and tribological performance of diamond coated WC–Co and steel substrates using various characterization techniques. We conclude by highlighting the current progress and future perspectives of diamond coatings on these substrates for tribological applications. (topical review)

  9. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  10. Boron-doped diamond electrode: synthesis, characterization, functionalization and analytical applications.

    Science.gov (United States)

    Luong, John H T; Male, Keith B; Glennon, Jeremy D

    2009-10-01

    In recent years, conductive diamond electrodes for electrochemical applications have been a major focus of research and development. The impetus behind such endeavors could be attributed to their wide potential window, low background current, chemical inertness, and mechanical durability. Several analytes can be oxidized by conducting diamond compared to other carbon-based materials before the breakdown of water in aqueous electrolytes. This is important for detecting and/or identifying species in solution since oxygen and hydrogen evolution do not interfere with the analysis. Thus, conductive diamond electrodes take electrochemical detection into new areas and extend their usefulness to analytes which are not feasible with conventional electrode materials. Different types of diamond electrodes, polycrystalline, microcrystalline, nanocrystalline and ultrananocrystalline, have been synthesized and characterized. Of particular interest is the synthesis of boron-doped diamond (BDD) films by chemical vapor deposition on various substrates. In the tetrahedral diamond lattice, each carbon atom is covalently bonded to its neighbors forming an extremely robust crystalline structure. Some carbon atoms in the lattice are substituted with boron to provide electrical conductivity. Modification strategies of doped diamond electrodes with metallic nanoparticles and/or electropolymerized films are of importance to impart novel characteristics or to improve the performance of diamond electrodes. Biofunctionalization of diamond films is also feasible to foster several useful bioanalytical applications. A plethora of opportunities for nanoscale analytical devices based on conducting diamond is anticipated in the very near future.

  11. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  12. Ultraviolet photosensitivity of sulfur-doped micro- and nano-crystalline diamond

    International Nuclear Information System (INIS)

    Mendoza, Frank; Makarov, Vladimir; Hidalgo, Arturo; Weiner, Brad; Morell, Gerardo

    2011-01-01

    The room-temperature photosensitivity of sulfur-doped micro- (MCD), submicro- (SMCD) and nano- (NCD) crystalline diamond films synthesized by hot-filament chemical vapor deposition was studied. The structure and composition of these diamond materials were characterized by Raman spectroscopy, scanning electron microscopy and X-ray diffraction. The UV sensitivity and response time were studied for the three types of diamond materials using a steady state broad UV excitation source and two pulsed UV laser radiations. It was found that they have high sensitivity in the UV region, as high as 10 9 sec -1 mV -1 range, linear response in a broad spectral range below 320 nm, photocurrents around ∼10 -5 A, and short response time better than 100 ns, which is independent of fluency intensity. A phenomenological model was applied to help understand the role of defects and dopant concentration on the materials' photosensitivity

  13. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  14. Diamond surface functionalization with biomimicry - Amine surface tether and thiol moiety for electrochemical sensors

    Science.gov (United States)

    Sund, James B.; Causey, Corey P.; Wolter, Scott D.; Parker, Charles B.; Stoner, Brian R.; Toone, Eric J.; Glass, Jeffrey T.

    2014-05-01

    The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen-oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis.

  15. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  16. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  17. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  18. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  19. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  20. Plasma spraying method for forming diamond and diamond-like coatings

    Science.gov (United States)

    Holcombe, Cressie E.; Seals, Roland D.; Price, R. Eugene

    1997-01-01

    A method and composition for the deposition of a thick layer (10) of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition (12) including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate (20). The softened or molten composition (18) crystallizes on the substrate (20) to form a thick deposition layer (10) comprising at least a diamond or diamond-like material. The selected composition (12) includes at least glassy carbon as a primary constituent (14) and may include at least one secondary constituent (16). Preferably, the secondary constituents (16) are selected from the group consisting of at least diamond powder, boron carbide (B.sub.4 C) powder and mixtures thereof.

  1. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC, HL-LHC and Beyond

    CERN Document Server

    Kagan, Harris (Ohio State)

    2018-01-01

    The RD42 collaboration at CERN is leading the effort to develop radiation tolerant devices based on polycrystalline Chemical Vapor Deposition (pCVD) diamond as a material for tracking detectors operating in harsh radiation environments. Diamond has properties that make it suitable for such detector applications. During the last few years the RD42 group has succeeded in producing and characterising a number of devices to address specific issues related to their use at the LHC and HL-LHC. Herein we present the status of the RD42 project with emphasis on recent beam test results and our proposed three year research plan. In particular, we review recent results on the stability of signal size on incident particle rate in diamond detectors over a range of particle fluxes up to 20 MHz/cm2, on the radiation tolerance of CVD diamond, on the diamond work with ATLAS and CMS, on the results of 3D diamond detectors fabricated in pCVD diamond and on the work with diamond manufacturers. In addition, we present the details ...

  2. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  3. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  4. Fabrication of polycrystalline diamond refractive X-ray lens by femtosecond laser processing

    Energy Technology Data Exchange (ETDEWEB)

    Kononenko, T.V.; Ralchenko, V.G.; Ashkinazi, E.E.; Konov, V.I. [General Physics Institute of Russian Academy of Sciences, Moscow (Russian Federation); National Research Nuclear University ' ' MEPhI' ' , Moscow (Russian Federation); Polikarpov, M.; Ershov, P. [Immanuel Kant Baltic Federal University, Functional Nanomaterials, Kaliningrad (Russian Federation); Kuznetsov, S.; Yunkin, V. [Institute of Microelectronics Technology RAS, Chernogolovka, Moscow region (Russian Federation); Snigireva, I. [European Synchrotron Radiation Facility, Grenoble (France)

    2016-03-15

    X-ray planar compound refractive lenses were fabricated from a polycrystalline diamond plate grown by chemical vapor deposition, by precise through cutting with femtosecond laser pulses. The lens geometry and the surface morphology were investigated with optical and scanning electron microscopy, while the material structure modification was analyzed by Raman spectroscopy. The results of the preliminary lens test at 9.25-keV X-rays are presented. (orig.)

  5. Fabrication of polycrystalline diamond refractive X-ray lens by femtosecond laser processing

    International Nuclear Information System (INIS)

    Kononenko, T.V.; Ralchenko, V.G.; Ashkinazi, E.E.; Konov, V.I.; Polikarpov, M.; Ershov, P.; Kuznetsov, S.; Yunkin, V.; Snigireva, I.

    2016-01-01

    X-ray planar compound refractive lenses were fabricated from a polycrystalline diamond plate grown by chemical vapor deposition, by precise through cutting with femtosecond laser pulses. The lens geometry and the surface morphology were investigated with optical and scanning electron microscopy, while the material structure modification was analyzed by Raman spectroscopy. The results of the preliminary lens test at 9.25-keV X-rays are presented. (orig.)

  6. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  7. Control of spontaneous emission rate in luminescent resonant diamond particles

    Science.gov (United States)

    Savelev, R.; Zalogina, A.; Kudryashov, S.; Ivanova, A.; Levchenko, A.; Makarov, S.; Zuev, D.; Shadrivov, I.

    2018-01-01

    We study the properties of luminescent diamond particles of different sizes (up to ~1.5 μm) containing multiple NV-centers. We theoretically predict that the average liftetime in such particles is decreased by several times as compared to optically small subwavelength nanodiamonds. In our experiments, samples were obtained by milling the plasma-enhanced chemical vapor deposited diamond film, and characterized by Raman spectroscopy and dark- field spectroscopy methods. Time-resolved luminescence measurements of the excited state of NV-centers showed that their average lifetime varies from 10 to 17 ns in different samples. By comparing this data to the values of the lifetime of the NV-centers in optically small nanodiamonds, known from literature, we confirm a severalfold decrease of the lifetime in resonant particles.

  8. Ultrananocrystalline diamond film as an optimal cell interface for biomedical applications.

    Science.gov (United States)

    Bajaj, Piyush; Akin, Demir; Gupta, Amit; Sherman, Debby; Shi, Bing; Auciello, Orlando; Bashir, Rashid

    2007-12-01

    Surfaces of materials that promote cell adhesion, proliferation, and growth are critical for new generation of implantable biomedical devices. These films should be able to coat complex geometrical shapes very conformally, with smooth surfaces to produce hermetic bioinert protective coatings, or to provide surfaces for cell grafting through appropriate functionalization. Upon performing a survey of desirable properties such as chemical inertness, low friction coefficient, high wear resistance, and a high Young's modulus, diamond films emerge as very attractive candidates for coatings for biomedical devices. A promising novel material is ultrananocrystalline diamond (UNCD) in thin film form, since UNCD possesses the desirable properties of diamond and can be deposited as a very smooth, conformal coating using chemical vapor deposition. In this paper, we compared cell adhesion, proliferation, and growth on UNCD films, silicon, and platinum films substrates using different cell lines. Our results showed that UNCD films exhibited superior characteristics including cell number, total cell area, and cell spreading. The results could be attributed to the nanostructured nature or a combination of nanostructure/surface chemistry of UNCD, which provides a high surface energy, hence promoting adhesion between the receptors on the cell surface and the UNCD films.

  9. Fabrication and characterization of composite TiO{sub 2} nanotubes/boron-doped diamond electrodes towards enhanced supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Sobaszek, M. [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdańsk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdańsk (Poland); Siuzdak, K.; Sawczak, M. [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, 14 Fiszera St., 80-231 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Faculty of Chemistry, Gdańsk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdańsk (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdańsk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdańsk (Poland)

    2016-02-29

    The composite TiO{sub 2} nanotubes/boron-doped diamond electrodes were deposited using Microwave Plasma Enhanced Chemical Vapor Deposition resulting in the improved electrochemical performance. This composite electrode can deliver high specific capacitance of 7.46 mF cm{sup −2} comparing to boron-doped diamond (BDD) deposited onto flat Ti plate (0.11 mF cm{sup −2}).The morphology and composition of composite electrode were characterized by scanning electron microscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) techniques. According to XPS and Raman analyses, the structure of TiO{sub 2} was greatly changed during Chemical Vapor Deposition process: formation of Ti{sup 3+} sites, partial anatase to rutile transformation and titanium carbide phase formation. This effect is attributed to the simultaneous presence of activated hydrogen and carbon in the plasma leading to enhanced dehydration of NTs (nanotubes) followed by carbon bonding. The enhanced capacitive effect of TiO{sub 2} NT/BDD could be recognized as: (1) the unique synergistic morphology of NTs and BDD providing more efficient conducting pathway for the diffusion of ions and (2) partial decomposition of NTs and transformation towards to TiC and Ti{sub 2}O{sub 3} fractions. Finally, highly ordered titania nanotubes produced via simply, quick and controllable method — anodization, could act as promising substrate for conductive BDD layer deposition and further application of such composites for supercapacitor construction. - Highlights: • The TiO{sub 2} nanotube (NT)/diamond electrode delivers capacitance of 7.46 mF cm{sup −2}. • The NTs are not affected by diamond growth process and keep their pristine shape. • The BDD overlayer fully encapsulates TiO{sub 2} NTs exhibiting typical columnar growth. • The activated hydrogen and carbon in the plasma lead to enhanced dehydration of NTs. • The presence of TiC and Ti{sub 2}O{sub 3} fractions introducing additional capacitance.

  10. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  11. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    Science.gov (United States)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  12. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  13. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  14. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  15. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  16. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  17. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  18. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Energy Technology Data Exchange (ETDEWEB)

    Miksovsky, J. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Voss, A. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Kozarova, R. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kocourek, T.; Pisarik, P. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Ceccone, G. [Unit Nanobiosciences, European Commission Joint Research Centre, Ispra (Italy); Kulisch, W. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Jelinek, M. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Apostolova, M.D. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Reithmaier, J.P. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Popov, C., E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany)

    2014-04-01

    Graphical abstract: - Highlights: • UNCD and DLC films were modified by UV/O{sub 3} treatments, O{sub 2} or NH{sub 3}-containing plasmas. • Surface composition, wettability and surface energy change upon modifications. • Higher efficiency of UNCD modifications was observed. • Cell attachment and growth were influenced by the surface termination and roughness. - Abstract: Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O{sub 2} or NH{sub 3}/N{sub 2} plasmas and UV/O{sub 3} treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  19. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  20. Development of diamond thin film-based alpha particle detectors for online assay of plutonium content in corrosive liquid medium

    International Nuclear Information System (INIS)

    Nuwad, J.; Jain, Dheeraj; Manoj, N.; Sudarsan, V.; Panja, S.; Dhami, P.S.

    2014-01-01

    In the present work, diamond thin films were prepared using microwave plasma chemical vapor deposition (MPCVD) method and characterized using XRD, OES, SEM, Raman spectroscopy and I-V techniques. These films were subjected to annealing and chemical cleaning for further improving the film quality. Surface metallization was obtained by gold deposition using PVD. These films were configured in semiconductor-insulator-metal heterostructure and mounted in SS shells. Gold coated growth surface (detector's active area) was sealed by chemical resistant sealing. Suitable bias was applied between the front and back electrical contacts to enable charge collection generated upon alpha particle interaction with diamond. The photograph of developed detector in the lab is shown

  1. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  2. Beta Radiation Enhanced Thermionic Emission from Diamond Thin Films

    Directory of Open Access Journals (Sweden)

    Alex Croot

    2017-11-01

    Full Text Available Diamond-based thermionic emission devices could provide a means to produce clean and renewable energy through direct heat-to-electrical energy conversion. Hindering progress of the technology are the thermionic output current and threshold temperature of the emitter cathode. In this report, we study the effects on thermionic emission caused by in situ exposure of the diamond cathode to beta radiation. Nitrogen-doped diamond thin films were grown by microwave plasma chemical vapor deposition on molybdenum substrates. The hydrogen-terminated nanocrystalline diamond was studied using a vacuum diode setup with a 63Ni beta radiation source-embedded anode, which produced a 2.7-fold increase in emission current compared to a 59Ni-embedded control. The emission threshold temperature was also examined to further assess the enhancement of thermionic emission, with 63Ni lowering the threshold temperature by an average of 58 ± 11 °C compared to the 59Ni control. Various mechanisms for the enhancement are discussed, with a satisfactory explanation remaining elusive. Nevertheless, one possibility is discussed involving excitation of preexisting conduction band electrons that may skew their energy distribution toward higher energies.

  3. Thermal applications of low-pressure diamond

    International Nuclear Information System (INIS)

    Haubner, R.; Lux, B.

    1997-01-01

    During the last decade several applications of low-pressure diamond were developed. Main products are diamond heat-spreaders using its high thermal conductivity, diamond windows with their high transparency over a wide range of wavelengths and wear resistant tool coatings because of diamonds superhardness. A short description of the most efficient diamond deposition methods (microwave, DC-glow discharge, plasma-jet and arc discharge) is given. The production and applications of diamond layers with high thermal conductivity will be described. Problems of reproducibility of diamond deposition, the influence of impurities, the heat conductivity in electronic packages, reliability and economical mass production will be discussed. (author)

  4. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  5. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  6. Investigation of catalytic oxidation of diamond by water vapor and carbon dioxide in the presence of alkali melts of some rare earth oxides

    International Nuclear Information System (INIS)

    Kulakova, I.I.; Rudenko, A.P.; Sulejmenova, A.S.; Tolstopyatova, A.A.

    1978-01-01

    The results of an investigation of the catalytic oxydation of diamond by carbon dioxide and water vapors at 906 deg C in the presence of melts of some rare earth oxides in potassium hydroxide are given. The ion La 3+ was shown to possess the most catalytic activity. The earlier proposed mechanisms of the diamond oxidation by CO 2 and H 2 O were corroborated. The ions of rare earth elements were found to accelerate the different stages of the process

  7. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  8. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  9. Optical properties of bias-induced CH sub 4 -H sub 2 plasma for diamond film deposition

    CERN Document Server

    Zhu, X D; Zhou, H Y; Wen, X H; Li, D

    2002-01-01

    Methane (CH sub 4) and hydrogen (H sub 2) reactive gas mixture has been in situ investigated in a hot filament diamond chemical vapor deposition reactor with a negatively variable biasing voltage applied to the hot filament with respect to the substrate using infrared absorption spectroscopy and optical emission spectroscopy. It is found that CH sub 4 converts increasingly to C sub 2 H sub 2 upon raising the filament temperature in a pure thermal activation state, no optical emission of species is observed. Upon bias application, both CH sub 4 and C sub 2 H sub 2 in infrared (IR) absorption intensity decrease with increasing bias current, even the IR absorption intensity of C sub 2 H sub 2 decreases more rapidly than that of CH sub 4. Meanwhile, the clear emission lines indexed to H, CH, and CH sup + appear in the optical emission spectrum obtained, showing that a large amount of excited radicals are produced in the gas phase after applying bias. It is believed that the further generation of activated radical...

  10. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  11. Comprehensive Evaluation of the Properties of Nanocrystalline Diamond Coatings Grown Using CVD with E/H Field Glow Discharge Stabilization

    Directory of Open Access Journals (Sweden)

    Iu. Nasieka

    2015-01-01

    Full Text Available The nanocrystalline diamond films (coatings were prepared using the plasma enhanced chemical vapor deposition (PECVD technique. In this method, direct current (DC glow discharge in the crossed E/H fields was used to activate the gas phase. The diamond coatings were deposited from the working gas mixture CH4/H2 with addition of nitrogen in various concentrations. It was ascertained that addition of N2 to the working gas mixture leads to reduction in the sizes of diamond grains as well as to the substantial decrease in the resistivity of the studied films. The electrophysical data are in good agreement with the changes induced by varying the N2 content in the Raman scattering spectra. The increase in the N2 concentration causes significant lowering of the crystalline diamond related peak and increase in the intensity of the peaks related to the sp2-bonded carbon. These changes in the spectra indicate significant disordering of the structure of prepared films and its uniformity in the nanodiamond film volume. With the great possibility, it is associated with a decrease in the sizes of diamond crystalline grains and tendency of NCD film to amorphization.

  12. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  13. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  14. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  15. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  16. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  17. Plasmon resonance enhanced temperature-dependent photoluminescence of Si-V centers in diamond

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Shaoheng [State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China); Song, Jie; Wang, Qiliang; Liu, Junsong; Li, Hongdong, E-mail: hdli@jlu.edu.cn [State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); Zhang, Baolin [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China)

    2015-11-23

    Temperature dependent optical property of diamond has been considered as a very important factor for realizing high performance diamond-based optoelectronic devices. The photoluminescence feature of the zero phonon line of silicon-vacancy (Si-V) centers in Si-doped chemical vapor deposited single crystal diamond (SCD) with localized surface plasmon resonance (LSPR) induced by gold nanoparticles has been studied at temperatures ranging from liquid nitrogen temperature to 473 K, as compared with that of the SCD counterpart in absence of the LSPR. It is found that with LSPR the emission intensities of Si-V centers are significantly enhanced by factors of tens and the magnitudes of the redshift (width) of the emissions become smaller (narrower), in comparison with those of normal emissions without plasmon resonance. More interestingly, these strong Si-V emissions appear remarkably at temperatures up to 473 K, while the spectral feature was not reported in previous studies on the intrinsic Si-doped diamonds when temperatures are higher than room temperature. These findings would lead to reaching high performance diamond-based devices, such as single photon emitter, quantum cryptography, biomarker, and so forth, working under high temperature conditions.

  18. Surface hardening of optic materials by deposition of diamond like carbon coatings from separated plasma of arc discharge

    Science.gov (United States)

    Osipkov, A. S.; Bashkov, V. M.; Belyaeva, A. O.; Stepanov, R.; Mironov, Y. M.; Galinovsky, A. L.

    2015-02-01

    This article considers the issue of strengthening of optic materials used in the IR spectrum by deposition of diamond like carbon coatings from separated plasma arc discharge. The report shows results of tests of bare and strengthened optical materials such as BaF2, MgF2, Si, Ge, including the testing of their strength and spectral characteristics. Results for the determination of optical constants for the DLC coatings deposited on substrates of Ge and Si, by using separated plasma, are also presented. Investigations showed that surface hardening of optical materials operable in the IR range, by the deposition of diamond like carbon coating onto their surface, according to this technology, considerably improves operational properties and preserves or improves their optic properties.

  19. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  20. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  1. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  2. A large area diamond-based beam tagging hodoscope for ion therapy monitoring

    Science.gov (United States)

    Gallin-Martel, M.-L.; Abbassi, L.; Bes, A.; Bosson, G.; Collot, J.; Crozes, T.; Curtoni, S.; Dauvergne, D.; De Nolf, W.; Fontana, M.; Gallin-Martel, L.; Hostachy, J.-Y.; Krimmer, J.; Lacoste, A.; Marcatili, S.; Morse, J.; Motte, J.-F.; Muraz, J.-F.; Rarbi, F. E.; Rossetto, O.; Salomé, M.; Testa, É.; Vuiart, R.; Yamouni, M.

    2018-01-01

    The MoniDiam project is part of the French national collaboration CLaRyS (Contrôle en Ligne de l'hAdronthérapie par RaYonnements Secondaires) for on-line monitoring of hadron therapy. It relies on the imaging of nuclear reaction products that is related to the ion range. The goal here is to provide large area beam detectors with a high detection efficiency for carbon or proton beams giving time and position measurement at 100 MHz count rates (beam tagging hodoscope). High radiation hardness and intrinsic electronic properties make diamonds reliable and very fast detectors with a good signal to noise ratio. Commercial Chemical Vapor Deposited (CVD) poly-crystalline, heteroepitaxial and monocrystalline diamonds were studied. Their applicability as a particle detector was investigated using α and β radioactive sources, 95 MeV/u carbon ion beams at GANIL and 8.5 keV X-ray photon bunches from ESRF. This facility offers the unique capability of providing a focused ( 1 μm) beam in bunches of 100 ps duration, with an almost uniform energy deposition in the irradiated detector volume, therefore mimicking the interaction of single ions. A signal rise time resolution ranging from 20 to 90 ps rms and an energy resolution of 7 to 9% were measured using diamonds with aluminum disk shaped surface metallization. This enabled us to conclude that polycrystalline CVD diamond detectors are good candidates for our beam tagging hodoscope development. Recently, double-side stripped metallized diamonds were tested using the XBIC (X Rays Beam Induced Current) set-up of the ID21 beamline at ESRF which permits us to evaluate the capability of diamond to be used as position sensitive detector. The final detector will consist in a mosaic arrangement of double-side stripped diamond sensors read out by a dedicated fast-integrated electronics of several hundreds of channels.

  3. Phenomenological effets of tantalum incorporation into diamond films: Experimental and first principle studies

    Energy Technology Data Exchange (ETDEWEB)

    Ullah, Mahtab, E-mail: mahtabullah@bzu.edu.pk [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Rana, Anwar Manzoor; Ahmad, E. [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Raza, Rizwan [Department of Physics, COMSATS Institute of Information Technology, Lahore-54000 (Pakistan); Hussain, Fayyaz [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Hussain, Akhtar; Iqbal, Muhammad [Theoretical Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)

    2016-09-01

    Graphical abstract: - Highlights: • Fabrication of tantalum incorporated diamonds films using HFCVD technique. • Decrease in resistivity by increasing tantalum content in diamond thin films. • Electronic structure calculations of tantalum incorporated diamonds films through VASP code. • A rise of bond length and bond angles by addition of tantalum in the diamond lattice. • Confirmation of decrease of resistivity by adding tantalum due to creation of impurity states in the bandgap. - Abstract: Tantalum (Ta) incorporated diamond films are synthesized on silicon substrate by chemical vapor deposition under gas mixture of CH{sub 4} + H{sub 2}. Characterizations of the resulting films indicate that morphology and resistivity of as-grown diamond films are significantly influenced by the process parameters and the amount of tantalum incorporated in the diamond films. XRD plots reveal that diamond films are composed of TaC along with diamond for higher concentration of tantalum and Ta{sub 2}C phases for lower concentration of tantalum. EDS spectra confirms the existence of tantalum in the diamond films. Resistivity measurements illustrate a sudden fall of about two orders of magnitude by the addition of tantalum in the diamond films. Band structure of Ta-incorporated diamond has been investigated based on density functional theory (DFT) using VASP code. Band structure calculations lead to the semiconducting behavior of Ta-incorporated diamond films because of the creation of defects states inside the band gap extending towards conduction band minimum. Present DFT results support experimental trend of resistivity that with the incorporation of tantalum into diamond lattice causes a decrease in the resistivity of diamond films so that tantalum-incorporated diamond films behave like a good semiconductor.

  4. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  5. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  6. [The change of bacterial adhesion during deposition nitrogen-diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Xiao, Yun

    2011-10-01

    The aim of this study was to observe the change of bacterial adhesion on pure titanium coated with nitrogen-diamond like carbon (N-DLC) films and to guide the clinical application. N-DLC was deposited on titanium using ion plating machine, TiN film, anodic oxide film and non-deposition were used as control, then made specimens adhering on the surface of resin denture base for 6 months. The adhesion of Saccharomyces albicans on the titanium surface was observed using scanning electron microscope, and the roughness was tested by roughness detector. The number of Saccharomyces albicans adhering on diamond-like carbon film was significantly less than on the other groups (P DLC film was less than other group (P coated with N-DLC film reduced the adhesion of Saccharomyces albicans after clinical application, thereby reduced the risk of denture stomatitis.

  7. Diamond surface functionalization with biomimicry – Amine surface tether and thiol moiety for electrochemical sensors

    Energy Technology Data Exchange (ETDEWEB)

    Sund, James B., E-mail: jim@jamessund.com [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States); Causey, Corey P. [Departments of Chemistry and Biochemistry, Duke University, Durham, NC (United States); Wolter, Scott D. [Department of Physics, Elon University, Elon, NC 27244 (United States); Parker, Charles B., E-mail: charles.parker@duke.edu [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States); Stoner, Brian R. [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States); Research Triangle Institute (RTI) International, Research Triangle Park, NC (United States); Toone, Eric J. [Departments of Chemistry and Biochemistry, Duke University, Durham, NC (United States); Glass, Jeffrey T. [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States)

    2014-05-01

    Highlights: • Diamond surfaces were functionalized with organic molecules using a novel approach. • Used biomimicry to select a molecule to bind NO, similar to the human body. • Molecular orbital theory predicted the molecule-analyte oxidation behavior. • A thiol moiety was attached to an amine surface tether on the diamond surface. • XPS analysis verified each surface functionalization step. - Abstract: The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen–oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis.

  8. Diamond surface functionalization with biomimicry – Amine surface tether and thiol moiety for electrochemical sensors

    International Nuclear Information System (INIS)

    Sund, James B.; Causey, Corey P.; Wolter, Scott D.; Parker, Charles B.; Stoner, Brian R.; Toone, Eric J.; Glass, Jeffrey T.

    2014-01-01

    Highlights: • Diamond surfaces were functionalized with organic molecules using a novel approach. • Used biomimicry to select a molecule to bind NO, similar to the human body. • Molecular orbital theory predicted the molecule-analyte oxidation behavior. • A thiol moiety was attached to an amine surface tether on the diamond surface. • XPS analysis verified each surface functionalization step. - Abstract: The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen–oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis

  9. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  10. Nanocrystalline diamond film as cathode for gas discharge sensors

    Energy Technology Data Exchange (ETDEWEB)

    Jou, Shyankay, E-mail: sjou@mail.ntust.edu.t [Graduate Institute of Materials Science and Technology, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Huang, Bohr-Ran [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Wu, Meng-Chang [Department of Electronic Engineering, National Yunlin University of Science and Technology, Touliu 640, Taiwan (China)

    2010-05-31

    Nanocrystalline diamond (NCD) film was deposited on a silicon substrate utilizing microwave plasma-enhanced chemical vapor deposition in a mixed flow of methane, hydrogen and argon. The deposited film had a cauliflower-like morphology, and was composed of NCD, carbon clusters and mixed sp{sup 2}- and sp{sup 3}-bonded carbon. Electron field emission (EFE) in vacuum and electrical discharges in Ar, N{sub 2} and O{sub 2} using the NCD film as the cathode were characterized. The turn-on field for EFE and the geometric enhancement factor for the NCD film were 8.5 V/{mu}m and 668, respectively. The breakdown voltages for Ar, N{sub 2} and O{sub 2} increased with pressures from 1.33 x 10{sup 4} Pa to 1.01 x 10{sup 5} Pa, following the right side of the normal Paschen curve.

  11. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  12. Patterned hydrophobic and hydrophilic surfaces of ultra-smooth nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Mertens, M., E-mail: michael.mertens@uni-ulm.de [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Mohr, M.; Brühne, K.; Fecht, H.J. [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Łojkowski, M.; Święszkowski, W. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Łojkowski, W. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2016-12-30

    Highlights: • Hydrophobic and hydrophilic properties on fluorine-, hydrogen- and oxygen- terminated ultra-nanocrystalline diamond films. • Micropatterned - multi-terminated layers with both hydrophobic and hydrophilic areas on one sample. • Visualization of multi-terminated surfaces by e.g. SEM and LFM. • Roughness and friction investigations on different terminated surfaces. • Smooth and biocompatible surfaces with same roughness regardless of hydrophobicity for microbiological investigations. - Abstract: In this work, we show that ultra nanocrystalline diamond (UNCD) surfaces have been modified to add them hydrophobic and hydrophilic properties. The nanocrystalline diamond films were deposited using the hot filament chemical vapor deposition (HFCVD) technique. This allows growing diamond on different substrates which can be even 3D or structured. Silicon and, for optical applications, transparent quartz glass are the preferred substrates for UNCD layers growth. Fluorine termination leads to strong hydrophobic properties as indicated by a high contact angle for water of more than 100°. Hydrogen termination shows lesser hydrophobic behavior. Hydrophilic characteristics has been realised with oxygen termination. X-ray photoelectron spectroscopy (XPS) and energy dispersive X-ray spectroscopy (EDX) measurements confirm the oxygen and fluorine- termination on the nanocrystalline diamond surface. Further, by micropatterning using photolithography, multi-terminated layers have been created with both hydrophobic and hydrophilic areas. In addition, we have shown that retermination is achieved, and the properties of the surface have been changed from hydrophobic to hydrophilic and vice versa. Micro- roughness and stress in the grown film influences slightly the wetting angle as well. The opportunity to realize local differences in hydrophobicity on nanocrystalline diamond layers, in any size or geometry, offers interesting applications for example in

  13. Low-temperature electrical transport in B-doped ultrananocrystalline diamond film

    International Nuclear Information System (INIS)

    Li, Lin; Zhao, Jing; Hu, Zhaosheng; Quan, Baogang; Li, Junjie; Gu, Changzhi

    2014-01-01

    B-doped ultrananocrystalline diamond (UNCD) films are grown using hot-filament chemical vapor deposition method, and their electrical transport properties varying with temperature are investigated. When the B-doped concentration of UNCD film is low, a step-like increase feature of the resistance is observed with decreasing temperature, reflecting at least three temperature-modified electronic state densities at the Fermi level according to three-dimensional Mott's variable range hopping transport mechanism, which is very different from that of reported B-doped nanodiamond. With increasing B-doped concentration, a superconductive transformation occurs in the UNCD film and the highest transformation temperature of 5.3 K is observed, which is higher than that reported for superconducting nanodiamond films. In addition, the superconducting coherence length is about 0.63 nm, which breaks a reported theoretical and experimental prediction about ultra-nanoscale diamond's superconductivity

  14. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  15. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  16. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  17. Mechanical properties of ultrananocryslalline thin films deposited using dual frequency discharges

    Czech Academy of Sciences Publication Activity Database

    Buršíková, V.; Bláhová, O.; Karásková, M.; Zajíčková, L.; Jašek, O.; Franta, D.; Klapetek, P.; Buršík, Jiří

    2011-01-01

    Roč. 105, - (2011), s. 98-101 ISSN 0009-2770. [Lokální mechanické vlastnosti ´07. Brno, 07.11.2007-09.11.2007] Institutional research plan: CEZ:AV0Z20410507 Keywords : ultrananocryslalline diamond * plasma enhanced chemical vapor deposition * dual frequency discharge Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.529, year: 2011

  18. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  19. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  20. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  1. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  2. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  3. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  4. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  5. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  6. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  7. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  8. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  9. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  10. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  11. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  12. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  13. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  14. Ionization signals from diamond detectors in fast-neutron fields

    Energy Technology Data Exchange (ETDEWEB)

    Weiss, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); CIVIDEC Instrumentation, Wien (Austria); Frais-Koelbl, H. [University of Applied Sciences, Wiener Neustadt (Austria); Griesmayer, E.; Kavrigin, P. [CIVIDEC Instrumentation, Wien (Austria); Vienna University of Technology, Wien (Austria)

    2016-09-15

    In this paper we introduce a novel analysis technique for measurements with single-crystal chemical vapor deposition (sCVD) diamond detectors in fast-neutron fields. This method exploits the unique electronic property of sCVD diamond sensors that the signal shape of the detector current is directly proportional to the initial ionization profile. In fast-neutron fields the diamond sensor acts simultaneously as target and sensor. The interaction of neutrons with the stable isotopes {sup 12}C and {sup 13}C is of interest for fast-neutron diagnostics. The measured signal shapes of detector current pulses are used to identify individual types of interactions in the diamond with the goal to select neutron-induced reactions in the diamond and to suppress neutron-induced background reactions as well as γ-background. The method is verified with experimental data from a measurement in a 14.3 MeV neutron beam at JRC-IRMM, Geel/Belgium, where the {sup 13}C(n, α){sup 10}Be reaction was successfully extracted from the dominating background of recoil protons and γ-rays and the energy resolution of the {sup 12}C(n, α){sup 9}Be reaction was substantially improved. The presented analysis technique is especially relevant for diagnostics in harsh radiation environments, like fission and fusion reactors. It allows to extract the neutron spectrum from the background, and is particularly applicable to neutron flux monitoring and neutron spectroscopy. (orig.)

  15. A nitrogen doped low-dislocation density free-standing single crystal diamond plate fabricated by a lift-off process

    Energy Technology Data Exchange (ETDEWEB)

    Mokuno, Yoshiaki, E-mail: mokuno-y@aist.go.jp; Kato, Yukako; Tsubouchi, Nobuteru; Chayahara, Akiyoshi; Yamada, Hideaki; Shikata, Shinichi [Research Institute for Ubiquitous Energy Devices, National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2014-06-23

    A nitrogen-doped single crystal diamond plate with a low dislocation density is fabricated by chemical vapor deposition (CVD) from a high pressure high temperature synthetic type IIa seed substrate by ion implantation and lift-off processes. To avoid sub-surface damage, the seed surface was subjected to deep ion beam etching. In addition, we introduced a nitrogen flow during the CVD step to grow low-strain diamond at a relatively high growth rate. This resulted in a plate with low birefringence and a dislocation density as low as 400 cm{sup −2}, which is the lowest reported value for a lift-off plate. Reproducing this lift-off process may allow mass-production of single crystal CVD diamond plates with low dislocation density and consistent quality.

  16. Novel diamond-coated tools for dental drilling applications.

    Science.gov (United States)

    Jackson, M J; Sein, H; Ahmed, W; Woodwards, R

    2007-01-01

    The application of diamond coatings on cemented tungsten carbide (WC-Co) tools has been the subject of much attention in recent years in order to improve cutting performance and tool life in orthodontic applications. WC-Co tools containing 6% Co metal and 94% WC substrate with an average grain size of 1 - 3 microm were used in this study. In order to improve the adhesion between diamond and WC substrates it is necessary to etch cobalt from the surface and prepare it for subsequent diamond growth. Alternatively, a titanium nitride (TiN) interlayer can be used prior to diamond deposition. Hot filament chemical vapour deposition (HFCVD) with a modified vertical filament arrangement has been employed for the deposition of diamond films to TiN and etched WC substrates. Diamond film quality and purity has been characterized using scanning electron microscopy (SEM) and micro Raman spectroscopy. The performances of diamond-coated WC-Co tools, uncoated WC-Co tools, and diamond embedded (sintered) tools have been compared by drilling a series of holes into various materials such as human tooth, borosilicate glass, and acrylic tooth materials. Flank wear has been used to assess the wear rates of the tools when machining biomedical materials such as those described above. It is shown that using an interlayer such as TiN prior to diamond deposition provides the best surface preparation for producing dental tools.

  17. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  18. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  19. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  20. Development of a new neutron mirror made of deuterated Diamond-like carbon

    International Nuclear Information System (INIS)

    Sakurai, Dai; Chiba, Junsei; Shimizu, Hirohiko M; Nishimura, Daiki; Ino, Takashi; Kaneko, Naokatsu; Muto, Suguru; Kakusho, Nobunori; Seki, Yoshichika; Katayama, Ryo; Kitaguchi, Masaaki; Mishima, Kenji; Yamashita, Satoru; Ozeki, Kazuhide; Yoshioka, Tamaki

    2014-01-01

    We developed a new neutron mirror made of Diamond-like carbon (DLC). DLC is a film of amorphous carbon that has characteristics of both diamond and graphite. We produced DLC mirrors by ionization deposition method which is one of the chemical vapor deposition (CVD). Generally, DLC made by CVD contents a few tens of percentages of hydrogen. It decreases the Fermi potential of the DLC coating because hydrogen has negative Fermi potential. In order to increase the Fermi potential of the coating, we deuterated the DLC by using deuterated benzene for the source gas. The characteristics of the deuterated DLC(DDLC) coating was evaluated by RBS, ERDA, x-ray reflectivity, AFM. As a result, DDLC coating has 243 neV due to deuteration, which is the same level as Ni. The RMS of height of the DDLC was 0.6nm so that the DDLC coating can be applied for a focusing mirror or specular transportation of pulsed neutron. Besides, we also develop Hydrogen/Deuterium DLC multiple layer mirror. So far, 4 layers mirror has been succeeded.

  1. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  2. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  3. Bragg superlattice for obtaining individual photoluminescence of diamond color centers in dense 3D ensembles

    Science.gov (United States)

    Kukushkin, V. A.

    2017-10-01

    A way to significantly increase the spatial resolution of the color center photoluminescence collection in chemically vapor-deposited (CVD) diamond at a fixed exciting beam focal volume is suggested. It is based on the creation of a narrow waveguide for the color center photoluminescence with a small number of allowed vertical indices of guided modes. The waveguide is formed between the top surface of a CVD diamond film and an underlaid mirror—a Bragg superlattice made of interchanging high- and low boron-doped layers of CVD diamond. The guided color center photoluminescence is extracted through the top surface of a CVD diamond film with the frustrated total internal reflection method. According to the results of simulation made for a case when color centers are nitrogen-vacancy (NV) centers, the suggested way allows to increase the maximal value of the NV center concentration still compatible with selective collection of their photoluminescence by several times at a fixed exciting beam focal volume. This increase is provided without the deterioration of the NV center photoluminescence collection efficiency.

  4. Influence of electroformation regime on the specific properties of cobalt oxide‒platinum composite films deposited on conductive diamond

    Energy Technology Data Exchange (ETDEWEB)

    Spătaru, Tanţa; Osiceanu, Petre; Preda, Loredana; Munteanu, Cornel [Institute of Physical Chemistry “Ilie Murgulescu”, 202 Spl. Independenţei 060021, Bucharest (Romania); Spătaru, Nicolae, E-mail: nspataru@icf.ro [Institute of Physical Chemistry “Ilie Murgulescu”, 202 Spl. Independenţei 060021, Bucharest (Romania); Fujishima, Akira [Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku Tokyo 162-8601 (Japan)

    2014-04-01

    Two straightforward electrochemical methods were used in the present work for depositing cobalt oxide-platinum composite films on boron-doped diamond substrates in order to put into evidence the effect of the electroformation regime on the morphological and electrochemical features of these hybrid systems. The shift from potentiostatic to potentiodynamic deposition enabled not only a significant improvement of the Pt particles dispersion but also a much higher surface concentration of oxygenated species of platinum. For similar Co{sub 3}O{sub 4} and Pt loadings, the specific capacitance of the composite films deposited by cyclic voltammetry was with ca. 8% higher than that of the potentiostatically obtained ones. Additional advantage of potentiodynamic deposition is the improved resistance to fouling during methanol anodic oxidation of Pt particles, tentatively ascribed to the higher surface concentration of oxygenated species of platinum. - Highlights: • Cobalt oxide-platinum composite films were electrodeposited on conductive diamond. • Composite films formed by cyclic voltammetry exhibit enhanced specific capacitance. • Potentiodynamic deposition enables higher concentration of oxygenated Pt species. • Co{sub 3}O{sub 4}–Pt films prepared by cyclic voltammetry are less susceptible to CO poisoning.

  5. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  6. Electrochemical Behavior of Biomedical Titanium Alloys Coated with Diamond Carbon in Hanks' Solution

    Science.gov (United States)

    Gnanavel, S.; Ponnusamy, S.; Mohan, L.; Radhika, R.; Muthamizhchelvan, C.; Ramasubramanian, K.

    2018-03-01

    Biomedical implants in the knee and hip are frequent failures because of corrosion and stress on the joints. To solve this important problem, metal implants can be coated with diamond carbon, and this coating plays a critical role in providing an increased resistance to implants toward corrosion. In this study, we have employed diamond carbon coating over Ti-6Al-4V and Ti-13Nb-13Zr alloys using hot filament chemical vapor deposition method which is well-established coating process that significantly improves the resistance toward corrosion, wears and hardness. The diamond carbon-coated Ti-13Nb-13Zr alloy showed an increased microhardness in the range of 850 HV. Electrochemical impedance spectroscopy and polarization studies in SBF solution (simulated body fluid solution) were carried out to understand the in vitro behavior of uncoated as well as coated titanium alloys. The experimental results showed that the corrosion resistance of Ti-13Nb-13Zr alloy is relatively higher when compared with diamond carbon-coated Ti-6Al-4V alloys due to the presence of β phase in the Ti-13Nb-13Zr alloy. Electrochemical impedance results showed that the diamond carbon-coated alloys behave as an ideal capacitor in the body fluid solution. Moreover, the stability in mechanical properties during the corrosion process was maintained for diamond carbon-coated titanium alloys.

  7. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  8. Development of a diamond waveguide sensor for sensitive protein analysis using IR quantum cascade lasers

    Science.gov (United States)

    Piron, P.; Vargas Catalan, E.; Haas, J.; Österlund, L.; Nikolajeff, F.; Andersson, P. O.; Bergström, J.; Mizaikoff, B.; Karlsson, M.

    2018-02-01

    Microfabricated diamond waveguides, between 5 and 20 μm thick, manufactured by chemical vapor deposition of diamond, followed by standard lithographic techniques and inductively coupled plasma etching of diamond, are used as bio-chemical sensors in the mid infrared domain: 5-11 μm. Infrared light, emitted from a broadly tunable quantum cascade laser with a wavelength resolution smaller than 20 nm, is coupled through the diamond waveguides for attenuated total reflection spectroscopy. The expected advantages of these waveguides are a high sensitivity due to the high number of internal reflections along the propagation direction, a high transmittance in the mid-IR domain, the bio-compatibility of diamond and the possibility of functionalizing the surface layer. The sensor will be used for analyzing different forms of proteins such as α-synuclein which is relevant in understanding the mechanism behind Parkinson's disease. The fabrication process of the waveguide, its characteristics and several geometries are introduced. The optical setup of the biosensor is described and our first measurements on two analytes to demonstrate the principle of the sensing method will be presented. Future use of this sensor includes the functionalization of the diamond waveguide sensor surface to be able to fish out alpha-synuclein from cerebrospinal fluid.

  9. Calibration of an isotopically enriched carbon-13 layer pressure sensor to 156 GPa in a diamond anvil cell

    International Nuclear Information System (INIS)

    Qiu Wei; Baker, Paul A.; Velisavljevic, Nenad; Vohra, Yogesh K.; Weir, Samuel T.

    2006-01-01

    An isotopically enriched 13 C homoepitaxial diamond layer of 6±1 μm thickness was grown on top of a brilliant cut diamond anvil by a microwave plasma chemical vapor deposition process for application as a pressure sensor. This isotopically enriched diamond tip was then used in conjunction with a natural isotopic abundance diamond anvil to generate high pressure on the sample. We provide a calibration for the 13 C Raman mode of this extremely thin epitaxial layer to 156 GPa using ruby fluorescence and the equation of state of copper as secondary pressure standards. The nonlinear calibration of the 13 C Raman mode pressure sensor is compared with similar calibrations of 12 C Raman edge and a good agreement is obtained. The Raman signal from the 13 C epitaxial layer remained a distinct singlet to 156 GPa, and pressure calibration is independent of sample mechanical strength or the diamond anvil geometry. The use of even thinner layer would allow calibration further into ultrahigh pressure regime where the use of other optical sensors has proven to be difficult

  10. Sandblasting induced stress release and enhanced adhesion strength of diamond films deposited on austenite stainless steel

    Science.gov (United States)

    Li, Xiao; Ye, Jiansong; Zhang, Hangcheng; Feng, Tao; Chen, Jianqing; Hu, Xiaojun

    2017-08-01

    We firstly used sandblasting to treat austenite stainless steel and then deposited a Cr/CrN interlayer by close field unbalanced magnetron sputtering on it. After that, diamond films were prepared on the interlayer. It is found that the sandblasting process induces phase transition from austenite to martensite in the surface region of the stainless steel, which decreases thermal stress in diamond films due to lower thermal expansion coefficient of martensite phase compared with that of austenite phase. The sandblasting also makes stainless steel's surface rough and the Cr/CrN interlayer film inherits the rough surface. This decreases the carburization extent of the interlayer, increases nucleation density and modifies the stress distribution. Due to lower residual stress and small extent of the interlayer's carburization, the diamond film on sandblast treated austenite stainless steel shows enhanced adhesion strength.

  11. Electrochemical performances of diamond-like carbon coatings on carbon steel, stainless steel, and brass

    International Nuclear Information System (INIS)

    Hadinata, Samuel-Sudibyo; Lee, Ming-Tsung; Pan, Szu-Jung; Tsai, Wen-Ta; Tai, Chen-Yi; Shih, Chuan-Feng

    2013-01-01

    Diamond-like carbon (DLC) coatings have been deposited onto stainless steel, carbon steel and brass by plasma-enhanced chemical vapor deposition, respectively. Atomic arrangement, chemical structure, surface morphology and cross-section microstructure of the DLC coatings were examined by X-ray diffraction, Raman scattering spectroscopy and scanning electron microscopy. The electrochemical behaviors of the DLC coatings in 3.5 wt.% NaCl solution were investigated by performing an open circuit potential (OCP) measurement and a potentiodynamic polarization test. The experimental results showed that properly deposited DLC coatings could cause an increase of OCP by hundreds of millivolts and a reduction of anodic current density by several orders of magnitude as compared to that of the substrate. The results also demonstrated that electrochemical techniques could be used as tools to detect the soundness of the DLC coating by examining OCP and polarization curve, which varied with the form of defect and depended on the type of substrate. - Highlights: ► The substrate could affect the quality of diamond-like carbon (DLC) coating. ► Defect-free DLC coating exhibited extremely low anodic current density. ► The quality of DLC coating on metal could be evaluated by electrochemical test

  12. Electrochemical performances of diamond-like carbon coatings on carbon steel, stainless steel, and brass

    Energy Technology Data Exchange (ETDEWEB)

    Hadinata, Samuel-Sudibyo; Lee, Ming-Tsung [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Pan, Szu-Jung [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Tsai, Wen-Ta, E-mail: wttsai@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Tai, Chen-Yi [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Shih, Chuan-Feng [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Department of Electrical Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China)

    2013-02-01

    Diamond-like carbon (DLC) coatings have been deposited onto stainless steel, carbon steel and brass by plasma-enhanced chemical vapor deposition, respectively. Atomic arrangement, chemical structure, surface morphology and cross-section microstructure of the DLC coatings were examined by X-ray diffraction, Raman scattering spectroscopy and scanning electron microscopy. The electrochemical behaviors of the DLC coatings in 3.5 wt.% NaCl solution were investigated by performing an open circuit potential (OCP) measurement and a potentiodynamic polarization test. The experimental results showed that properly deposited DLC coatings could cause an increase of OCP by hundreds of millivolts and a reduction of anodic current density by several orders of magnitude as compared to that of the substrate. The results also demonstrated that electrochemical techniques could be used as tools to detect the soundness of the DLC coating by examining OCP and polarization curve, which varied with the form of defect and depended on the type of substrate. - Highlights: ► The substrate could affect the quality of diamond-like carbon (DLC) coating. ► Defect-free DLC coating exhibited extremely low anodic current density. ► The quality of DLC coating on metal could be evaluated by electrochemical test.

  13. A finite element analysis of the effects of geometrical shape on the elastic properties of chemical vapor deposited diamond nanowire

    Directory of Open Access Journals (Sweden)

    Garuma Abdisa Denu

    2017-01-01

    Full Text Available We report the effect of geometrical shape of diamond nanowire on its mechanical properties. Finite element modeling using COMSOL Multiphysics software is used to simulate various diamond nanowire with circular, square, rectangular, hexagonal and triangular cross-sections. A bending test under concentrated load applied at one of the free ends is simulated using FEM. The force response of the nanowire under different loading is studied for the various cross-sections. The dimensions of each cross-section is chosen so that material properties such as Young’s modulus can be kept constant for comparison in all the cross-sections. It is found out that the bending capability of a triangular nanowire is higher compared to other cross-sections due to its lowest second moment. Circular and hexagonal cross-section show highest stiffness. The study of mechanical property of diamond nanowires is useful for optimal nanomechanical designs where the effect of cross-section has to be taken into account.

  14. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  15. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  16. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  17. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  18. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  19. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  20. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  1. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  2. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  3. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  4. Increased charge storage capacity of titanium nitride electrodes by deposition of boron-doped nanocrystalline diamond films

    DEFF Research Database (Denmark)

    Meijs, Suzan; McDonald, Matthew; Sørensen, Søren

    2015-01-01

    The aim of this study was to investigate the feasibility of depositing a thin layer of boron-doped nanocrystalline diamond (B-NCD) on titanium nitride (TiN) coated electrodes and the effect this has on charge injection properties. The charge storage capacity increased by applying the B-NCD film...

  5. Development of nanodiamond foils for H- stripping to Support the Spallation Neutron Source (SNS) using hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Vispute, R D [Blue Wave Semiconductors; Ermer, Henry K [Blue Wave Semiconductors; Sinsky, Phillip [Blue Wave Semiconductors; Seiser, Andrew [Blue Wave Semiconductors; Shaw, Robert W [ORNL; Wilson, Leslie L [ORNL

    2014-01-01

    Thin diamond foils are needed in many particle accelerator experiments regarding nuclear and atomic physics, as well as in some interdisciplinary research. Particularly, nanodiamond texture is attractive for this purpose as it possesses a unique combination of diamond properties such as high thermal conductivity, mechanical strength and high radiation hardness; therefore, it is a potential material for energetic ion beam stripper foils. At the ORNL Spallation Neutron Source (SNS), the installed set of foils must be able to survive a nominal five-month operation period, without the need for unscheduled costly shutdowns and repairs. Thus, a small foil about the size of a postage stamp is critical to the operation of SNS and similar sources in U.S. laboratories and around the world. We are investigating nanocrystalline, polycrystalline and their admixture films fabricated using a hot filament chemical vapor deposition (HFCVD) system for H- stripping to support the SNS at Oak Ridge National Laboratory. Here we discuss optimization of process variables such as substrate temperature, process gas ratio of H2/Ar/CH4, substrate to filament distance, filament temperature, carburization conditions, and filament geometry to achieve high purity diamond foils on patterned silicon substrates with manageable intrinsic and thermal stresses so that they can be released as free standing foils without curling. An in situ laser reflectance interferometry tool (LRI) is used for monitoring the growth characteristics of the diamond thin film materials. The optimization process has yielded free standing foils with no pinholes. The sp3/sp2 bonds are controlled to optimize electrical resistivity to reduce the possibility of surface charging of the foils. The integrated LRI and HFCVD process provides real time information on the growth of films and can quickly illustrate growth features and control film thickness. The results are discussed in the light of development of nanodiamond foils that

  6. Cold cathodes on ultra-dispersed diamond base

    International Nuclear Information System (INIS)

    Alimova, A.N.; Zhirnov, V.V.; Chubun, N.N.; Belobrov, P.I.

    1998-01-01

    Prospects of application of nano diamond powders for fabrication of cold cathodes are discussed.Cold cathodes based on silicon pointed structures with nano diamond coatings were prepared.The deposition technique of diamond coating was dielectrophoresis from suspension of nano diamond powder in organic liquids.The cathodes were tested in sealed prototypes of vacuum electronic devices

  7. Tribological behavior of diamond-like carbon: effects of preparation conditions and annealing

    International Nuclear Information System (INIS)

    Grill, A.; Patel, V.; Meyerson, B.

    1991-01-01

    Diamond-like carbon (DLC) films are characterized by, among other properties, very low friction coefficients, high wear resistance, and high corrosion resistance. Depending upon the testing environment, the coefficient of friction can be as low as 0.01. As-deposited films are wear resistant in vacuum as well as in atmospheric ambient. This paper will discuss the general tribological behavior, in different environments, of DLC films deposited under a variety of conditions, and proposed mechanisms explaining the very low friction coefficients observed. The specific properties of DLC films deposited from acetylene by r.f. plasma-enhanced chemical vapor deposition will then be presented. The films were deposited at substrate temperatures between 100degC and 250degC, at various substrate biases. The films were annealed in vacuum at temperatures up to 590degC. The tribological properties of the as-deposited as well as annealed DLC films will be presented as a function of the deposition parameters. The observed behavior will be discussed and related to other physical properties of the films. (orig.)

  8. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  9. Metastable State Diamond Growth and its Applications to Electronic Devices.

    Science.gov (United States)

    Jeng, David Guang-Kai

    Diamond which consists of a dense array of carbon atoms joined by strong covalent bonds and formed into a tetrahedral crystal structure has remarkable mechanical, thermal, optical and electrical properties suitable for many industrial applications. With a proper type of doping, diamond is also an ideal semiconductor for high performance electronic devices. Unfortunately, natural diamond is rare and limited by its size and cost, it is not surprising that people continuously look for a synthetic replacement. It was believed for long time that graphite, another form of carbon, may be converted into diamond under high pressure and temperature. However, the exact condition of conversion was not clear. In 1939, O. I. Leipunsky developed an equilibrium phase diagram between graphite and diamond based on thermodynamic considerations. In the phase diagram, there is a low temperature (below 1000^ circC) and low pressure (below 1 atm) region in which diamond is metastable and graphite is stable, therefore establishes the conditions for the coexistence of the two species. Leipunsky's pioneer work opened the door for diamond synthesis. In 1955, the General Electric company (GE) was able to produce artificial diamond at 55k atm pressure and a temperature of 2000^ circC. Contrary to GE, B. Derjaguin and B. V. Spitzyn in Soviet Union, developed a method of growing diamonds at 1000^circC and at a much lower pressure in 1956. Since then, researchers, particularly in Soviet Union, are continuously looking for methods to grow diamond and diamond film at lower temperatures and pressures with slow but steady progress. It was only in the early 80's that the importance of growing diamond films had attracted the attentions of researchers in the Western world and in Japan. Recent progress in plasma physics and chemical vapor deposition techniques in integrated electronics technology have pushed the diamond growth in its metastable states into a new era. In this research, a microwave plasma

  10. Influence of duty ratio of pulsed bias on structure and properties of silicon-doped diamond-like carbon films by plasma deposition

    International Nuclear Information System (INIS)

    Nakazawa, Hideki; Kamata, Ryosuke; Miura, Soushi; Okuno, Saori

    2013-01-01

    We have investigated the influence of the duty ratio of pulsed substrate bias on the structure and properties of Si-doped diamond-like carbon (Si-DLC) films deposited by radio frequency plasma-enhanced chemical vapor deposition using CH 4 , Ar, and monomethylsilane (CH 3 SiH 3 ) as the Si source. The Si/(Si + C) ratios in the Si-DLC films deposited using pulsed bias were higher than that of the dc-biased Si-DLC film, and the Si fraction increased with decreasing pulse duty ratio. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy analyses revealed that Si-C, Si-H n , and C-H n bonds in the Si-DLC films increased with decreasing duty ratio. The internal stress decreased as the duty ratio decreased, which is probably due to the increase in Si-C, Si-H n , and C-H n bonds in the films. The Si-DLC films deposited using pulsed bias had higher adhesion strength than the dc-biased Si-DLC film because of the further reduction of internal stress. At higher duty ratios, although the Si fractions of the pulse-biased Si-DLC films were higher than that of the dc-biased Si-DLC film, the wear rates of the former were less than that of the latter. - Highlights: • The internal stress of Si-doped films was lowered at lower duty ratios. • The adhesion of pulse-biased films was improved compared with that of dc films. • The tribological properties of Si-doped films were improved by the use of pulse bias

  11. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  12. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  13. Deposition and microstructure of Ti-containing diamond-like carbon nanocomposite films

    International Nuclear Information System (INIS)

    Yang, Won Jae; Sekino, Tohru; Shim, Kwang Bo; Niihara, Koichi; Auh, Keun Ho

    2005-01-01

    Ti-containing diamond-like carbon (DLC) films were deposited by plasma decomposition of CH 4 /Ar gas mixtures with an introduction of tetrakis(dimethylamino)titanium (TDMAT, Ti[(CH 3 ) 2 N] 4 ), which was used as a precursor of titanium. The films deposited were found to be nanocomposite coatings consisting of TiN nanocrystalline clusters and amorphous hydrocarbon (a-C:H), indicating that the nanocrystalline clusters were embedded in the DLC matrix. The crystallinity of TiN clusters, as well as the Ti atomic concentrations in the films, increased with an increase of substrate temperature. The substrate temperature applied to form a crystalline phase in the DLC matrix induced a graphitization of amorphous hydrocarbon matrix. The increase of volume fraction of TiN nanocrystalline clusters in the DLC matrix enhanced the mechanical properties of nanostructured coatings, although the graphite-like structural transition of DLC matrix happened due to the applied heating

  14. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  15. Indigenous development of diamond detectors for monitoring neutrons

    International Nuclear Information System (INIS)

    Singh, Arvind; Amit Kumar; Topkar, Anita; Pithawa, C.K.

    2013-01-01

    High purity synthetic chemically vapor deposited (CVD) diamond has several outstanding characteristics that make it as an important material for detector applications specifically for extreme environmental conditions like high temperature, high radiation, and highly corrosive environments. Diamond detectors are especially considered promising for monitoring fast neutrons produced by the D-T nuclear fusion reactions in next generation fusion facilities such as ITER. When fast neutrons interact with carbon, elastic, inelastic and (n,α) type reactions can occur. These reactions can be employed for the detection of fast neutrons using diamond. We have initiated the development of diamond detectors based on synthetic CVD substrates. In this paper, the first test of a polycrystalline CVD diamond detector with fast neutrons is reported. The test results demonstrate that this detector can be used for monitoring fast neutrons. The diamond detectors have been fabricated using 5 mm x 5 mm, 300 μm polycrystalline diamond substrates. Aluminum metallization has been used on both sides of the detector to provide electrical contacts. The performance of fabricated detectors was first evaluated using current and capacitance measurements. The leakage current was observed to be stable and about a few pAs for voltages up to 300V. The capacitance-voltage characteristics showed a constant capacitance which is as expected. To confirm the response of the detector to charged particles, the pulse height spectrum (PHS) was obtained using 238 Pu- 239 Pu dual α- source. The PHS showed a continuum without any peak due to polycrystalline nature of diamond film. The response of the detector to fast neutrons has been studied using the fast neutron facility at NXF, BARC. The PHS obtained for a neutron yield of 4 x 10 8 n/s is shown. The average counts per second (cps) measured for diamond detector for different neutron yields is shown. The plot shows linearity with coefficient of determination R

  16. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  17. Diagnostics of capacitively-coupled hydrocarbon plasmas for deposition of diamond-like carbon films using quadrupole mass spectrometry and Langmuir probe

    Science.gov (United States)

    Oda, Akinori; Fukai, Shun; Kousaka, Hiroyuki; Ohta, Takayuki

    2015-09-01

    Diamond-like carbon (DLC) films are the hydrogenated amorphous carbon films, which contains a mixture of sp2- and sp3-bonded carbon. The DLC films have been widely used for various applications, such as automotive, semiconductors, medical devices, since have excellent material properties in lower friction, higher chemical stability, higher hardness, higher wear resistance. Until now, numerous investigations on the DLC films using plasma assisted chemical vapor deposition have been done. For precise control of coating technique of DLC films, it is enormously important to clarify the fundamental properties in hydrocarbon plasmas, as a source of hydrocarbon ions and radicals. In this paper, the fundamental properties in a low pressure radio-frequency hydrocarbon (Ar/CH4 (1 %) gas mixture) plasmas have been diagnosed using a quadrupole mass spectrometer (HIDEN ANARYTICAL Ltd., EQP-300) and Langmuir probe system (HIDEN ANARYTICAL Ltd., ESPion). This work was partly supported by KAKENHI (No.26420247), and a ``Grant for Advanced Industrial Technology Development (No.11B06004d)'' in 2011 from the New Energy and Industrial Technology Development Organization (NEDO) of Japan.

  18. Ohmic contacts to semiconducting diamond

    Science.gov (United States)

    Zeidler, James R.; Taylor, M. J.; Zeisse, Carl R.; Hewett, C. A.; Delahoussaye, Paul R.

    1990-10-01

    Work was carried out to improve the electron beam evaporation system in order to achieve better deposited films. The basic system is an ion pumped vacuum chamber, with a three-hearth, single-gun e-beam evaporator. Four improvements were made to the system. The system was thoroughly cleaned and new ion pump elements, an e-gun beam adjust unit, and a more accurate crystal monitor were installed. The system now has a base pressure of 3 X 10(exp -9) Torr, and can easily deposit high-melting-temperature metals such as Ta with an accurately controlled thickness. Improved shadow masks were also fabricated for better alignment and control of corner contacts for electrical transport measurements. Appendices include: A Thermally Activated Solid State Reaction Process for Fabricating Ohmic Contacts to Semiconducting Diamond; Tantalum Ohmic Contacts to Diamond by a Solid State Reaction Process; Metallization of Semiconducting Diamond: Mo, Mo/Au, and Mo/Ni/Au; Specific Contact Resistance Measurements of Ohmic Contracts to Diamond; and Electrical Activation of Boron Implanted into Diamond.

  19. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  20. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  2. Pixelated transmission-mode diamond X-ray detector.

    Science.gov (United States)

    Zhou, Tianyi; Ding, Wenxiang; Gaowei, Mengjia; De Geronimo, Gianluigi; Bohon, Jen; Smedley, John; Muller, Erik

    2015-11-01

    Fabrication and testing of a prototype transmission-mode pixelated diamond X-ray detector (pitch size 60-100 µm), designed to simultaneously measure the flux, position and morphology of an X-ray beam in real time, are described. The pixel density is achieved by lithographically patterning vertical stripes on the front and horizontal stripes on the back of an electronic-grade chemical vapor deposition single-crystal diamond. The bias is rotated through the back horizontal stripes and the current is read out on the front vertical stripes at a rate of ∼ 1 kHz, which leads to an image sampling rate of ∼ 30 Hz. This novel signal readout scheme was tested at beamline X28C at the National Synchrotron Light Source (white beam, 5-15 keV) and at beamline G3 at the Cornell High Energy Synchrotron Source (monochromatic beam, 11.3 keV) with incident beam flux ranges from 1.8 × 10(-2) to 90 W mm(-2). Test results show that the novel detector provides precise beam position (positional noise within 1%) and morphology information (error within 2%), with an additional software-controlled single channel mode providing accurate flux measurement (fluctuation within 1%).

  3. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  4. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  5. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  6. Structural and electrical properties of conducting diamond nanowires.

    Science.gov (United States)

    Sankaran, Kamatchi Jothiramalingam; Lin, Yen-Fu; Jian, Wen-Bin; Chen, Huang-Chin; Panda, Kalpataru; Sundaravel, Balakrishnan; Dong, Chung-Li; Tai, Nyan-Hwa; Lin, I-Nan

    2013-02-01

    Conducting diamond nanowires (DNWs) films have been synthesized by N₂-based microwave plasma enhanced chemical vapor deposition. The incorporation of nitrogen into DNWs films is examined by C 1s X-ray photoemission spectroscopy and morphology of DNWs is discerned using field-emission scanning electron microscopy and transmission electron microscopy (TEM). The electron diffraction pattern, the visible-Raman spectroscopy, and the near-edge X-ray absorption fine structure spectroscopy display the coexistence of sp³ diamond and sp² graphitic phases in DNWs films. In addition, the microstructure investigation, carried out by high-resolution TEM with Fourier transformed pattern, indicates diamond grains and graphitic grain boundaries on surface of DNWs. The same result is confirmed by scanning tunneling microscopy and scanning tunneling spectroscopy (STS). Furthermore, the STS spectra of current-voltage curves discover a high tunneling current at the position near the graphitic grain boundaries. These highly conducting regimes of grain boundaries form effective electron paths and its transport mechanism is explained by the three-dimensional (3D) Mott's variable range hopping in a wide temperature from 300 to 20 K. Interestingly, this specific feature of high conducting grain boundaries of DNWs demonstrates a high efficiency in field emission and pave a way to the next generation of high-definition flat panel displays or plasma devices.

  7. Ion-beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R. [Argonne National Lab., IL (United States)

    1993-01-01

    This compilation of figures and diagrams reviews processes for depositing diamond/diamond-like carbon films. Processes addressed are chemical vapor deposition (HFCVD, PACVD, etc.), plasma vapor deposition (plasma sputtering, ion beam sputtering, evaporation, etc.), low-energy ion implantation, and hybrid processes (biased sputtering, IBAD, biased HFCVD, etc.). The tribological performance of coatings produced by different means is discussed.

  8. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  9. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  10. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  11. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  12. Environmental conditions to achieve low adhesion and low friction on diamond surfaces

    International Nuclear Information System (INIS)

    Guo, Haibo; Qi, Yue

    2010-01-01

    The adhesion and friction of both diamond and diamond-like carbon coatings can be dramatically changed by active gases in the environment, such as hydrogen, water vapor and humid air, due to tribochemical reactions. To understand the atmospheric effects and to predict the optimized environmental conditions (gas species, pressure and temperature), the tribochemical reactions on diamond surfaces are modeled from first principles thermodynamics. The results show that both H 2 and a mixture of H 2 O plus O 2 (such as humid air) can effectively achieve low adhesion and low friction with a fully –H or –OH passivated surface at very low partial pressures. Water vapor itself can passivate diamond (1 1 1) and (1 0 0) surfaces into half –H and half –OH terminated surfaces, but only at unrealistically high partial pressures. Even a trace amount of oxygen combined with water vapor can significantly reduce the water partial pressure for passivation. In all tribochemical reactions considered, the partial pressure required to reach low adhesion and low friction increases rapidly with temperature, and diamond (1 0 0) surface requires less partial pressures than (1 1 1) surface for surface passivation

  13. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  14. Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites.

    Science.gov (United States)

    Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang

    2018-02-07

    The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiC p /Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiC p /Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiC p /Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiC p /Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different

  15. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  16. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  17. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  18. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  19. Optical and electrical properties of boron doped diamond thin conductive films deposited on fused silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, M.; Sobaszek, M.; Gnyba, M. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Gołuński, Ł. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Smietana, M.; Jasiński, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa St., 00-662 Warsaw (Poland); Caban, P. [Institute of Electronic Materials Technology, 133 Wolczynska St., 01-919 Warsaw (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, CA 91125 (United States)

    2016-11-30

    Highlights: • Growth of 60% of transmittance diamond films with resistivity as low as 48 Ω cm. • Two step seeding process of fused silica: plasma hydrogenation and wet seeding. • Nanodiamond seeding density of 2 × 10{sup 10} cm{sup −2} at fused silica substrates. • High refractive index (2.4 @550 nm) was achieved for BDD films deposited at 500 °C. - Abstract: This paper presents boron-doped diamond (BDD) film as a conductive coating for optical and electronic purposes. Seeding and growth processes of thin diamond films on fused silica have been investigated. Growth processes of thin diamond films on fused silica were investigated at various boron doping level and methane admixture. Two step pre-treatment procedure of fused silica substrate was applied to achieve high seeding density. First, the substrates undergo the hydrogen plasma treatment then spin-coating seeding using a dispersion consisting of detonation nanodiamond in dimethyl sulfoxide with polyvinyl alcohol was applied. Such an approach results in seeding density of 2 × 10{sup 10} cm{sup −2}. The scanning electron microscopy images showed homogenous, continuous and polycrystalline surface morphology with minimal grain size of 200 nm for highly boron doped films. The sp{sup 3}/sp{sup 2} ratio was calculated using Raman spectra deconvolution method. A high refractive index (range of 2.0–2.4 @550 nm) was achieved for BDD films deposited at 500 °C. The values of extinction coefficient were below 0.1 at λ = 550 nm, indicating low absorption of the film. The fabricated BDD thin films displayed resistivity below 48 Ohm cm and transmittance over 60% in the visible wavelength range.

  20. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  1. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  2. Near-infrared refractive index of synthetic single crystal and polycrystalline diamonds at high temperatures

    Science.gov (United States)

    Yurov, V. Yu.; Bushuev, E. V.; Popovich, A. F.; Bolshakov, A. P.; Ashkinazi, E. E.; Ralchenko, V. G.

    2017-12-01

    We measured the refractive index n(T) and thermo-optical coefficient β(T) = (1/n)(dn/dT) of high quality synthetic diamonds from room temperature to high temperatures, up to 1520 K, in near-infrared spectral range at wavelength 1.56 μm, using a low-coherence interferometry. A type IIa single crystal diamond produced by high pressure-high temperature technique and a transparent polycrystalline diamond grown by chemical vapor deposition were tested and revealed a very close n(T) behavior, with n = 2.384 ± 0.001 at T = 300 K, monotonically increasing to 2.428 at 1520 K. The n(T) data corrected to thermal expansion of diamond are well fitted with 3rd order polynomials, and alternatively, with the Bose-Einstein model with an effective oscillator frequency of 970 cm-1. Almost linear n(T) dependence is observed above 800 K. The thermo-optical coefficient is found to increase monotonically from (0.6 ± 0.1) × 10-5 K-1 (300 K) to (2.0 ± 0.1) × 10-5 K-1 (1300 K) with a tendency to saturation at >1200 K. These β(T) values are an order of magnitude lower than those known for Si, GaAs, and InP. The obtained results significantly extend the temperature range, where the refractive index of diamond was previously measured.

  3. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  4. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  5. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  6. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  7. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  8. Porous boron doped diamonds as metal-free catalysts for the oxygen reduction reaction in alkaline solution

    Science.gov (United States)

    Suo, Ni; Huang, Hao; Wu, Aimin; Cao, Guozhong; Hou, Xiaoduo; Zhang, Guifeng

    2018-05-01

    Porous boron doped diamonds (BDDs) were obtained on foam nickel substrates with a porosity of 80%, 85%, 90% and 95% respectively by hot filament chemical vapor deposition (HFCVD) technology. Scanning electron microscopy (SEM) reveals that uniform and compact BDDs with a cauliflower-like morphology have covered the overall frame of the foam nickel substrates. Raman spectroscopy shows that the BDDs have a poor crystallinity due to heavily doping boron. X-ray photoelectron spectroscopy (XPS) analysis effectively demonstrates that boron atoms can be successfully incorporated into the crystal lattice of diamonds. Electrochemical measurements indicate that the oxygen reduction potential is unaffected by the specific surface area (SSA), and both the onset potential and the limiting diffusion current density are enhanced with increasing SSA. It is also found that the durability and methanol tolerance of the boron doped diamond catalysts are attenuated as the increasing of SSA. The SSA of the catalyst is directly proportional to the oxygen reduction activity and inversely to the durability and methanol resistance. These results provide a reference to the application of porous boron doped diamonds as potential cathodic catalysts for the oxygen reduction reaction in alkaline solution by adjusting the SSA.

  9. Giant Negative Piezoresistive Effect in Diamond-like Carbon and Diamond-like Carbon-Based Nickel Nanocomposite Films Deposited by Reactive Magnetron Sputtering of Ni Target

    DEFF Research Database (Denmark)

    Meškinis, Šaru Nas; Gudaitis, Rimantas; Šlapikas, Kęstutis

    2018-01-01

    deposited by either reactive HIPIMS or dc magnetron sputtering of Ni target was explained by possible clustering of the sp2-bonded carbon and/or formation of areas with the decreased hydrogen content. It was suggested that the tensile stress-induced rearrangements of these conglomerations have resulted......Piezoresistive properties of hydrogenated diamond-like carbon (DLC) and DLC-based nickel nanocomposite (DLC:Ni) films were studied in the range of low concentration of nickel nanoparticles. The films were deposited by reactive high power pulsed magnetron sputtering (HIPIMS) of Ni target, and some...... samples were deposited by direct current (dc) reactive magnetron sputtering for comparison purposes. Raman scattering spectroscopy, energy-dispersive X-ray spectrometry (EDS), and X-ray photoelectron spectroscopy (XPS) were used to study the structure and chemical composition of the films. A four...

  10. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  11. High energy ion beam induced modifications in diamond and diamond like carbon thin films

    International Nuclear Information System (INIS)

    Dilawar, N.; Sah, S.; Mehta, B.R.; Vankar, V.D.

    1996-01-01

    Diamond and DLC films deposited using hot-filament chemical vapour deposition technique at various parameters were irradiated with 50 MeV Si 4+ ions. The resulting microstructural changes were studied using X-ray diffraction and scanning electron microscopy. All the samples showed the development of β-SiC and hexagonal carbon phases at the expense of the diamond/DLC phase. The ERD analysis was carried out to determine the hydrogen concentration and its distribution in DLC films. The absolute hydrogen concentration in DLC samples is of the order of 10 22 atoms/cm 3 which gets depleted on irradiation. The DLC samples show a clear dependence of hydrogen content on the deposition parameters. (author)

  12. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  13. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  14. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  15. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  16. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  17. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  18. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  19. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  20. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  1. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  2. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  3. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  4. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  5. Diamond as a scaffold for bone growth.

    Science.gov (United States)

    Fox, Kate; Palamara, Joseph; Judge, Roy; Greentree, Andrew D

    2013-04-01

    Diamond is an attractive material for biomedical implants. In this work, we investigate its capacity as a bone scaffold. It is well established that the bioactivity of a material can be evaluated by examining its capacity to form apatite-like calcium phosphate phases on its surface when exposed to simulated body fluid. Accordingly, polycrystalline diamond (PCD) and ultrananocrystalline diamond (UNCD) deposited by microwave plasma chemical vapour deposition were exposed to simulated body fluid and assessed for apatite growth when compared to the bulk silicon. Scanning electron microscopy and X-ray photoelectron spectroscopy showed that both UNCD and PCD are capable of acting as a bone scaffold. The composition of deposited apatite suggests that UNCD and PCD are suitable for in vivo implantation with UNCD possible favoured in applications where rapid osseointegration is essential.

  6. Complete Quantum Control of a Single Silicon-Vacancy Center in a Diamond Nanopillar

    Science.gov (United States)

    Zhang, Jingyuan Linda; Lagoudakis, Konstantinos G.; Tzeng, Yan-Kai; Dory, Constantin; Radulaski, Marina; Kelaita, Yousif; Shen, Zhi-Xun; Melosh, Nicholas A.; Chu, Steven; Vuckovic, Jelena

    Coherent quantum control of a quantum bit (qubit) is an important step towards its use in a quantum network. SiV- center in diamond offers excellent physical qualities such as low inhomogeneous broadening, fast photon emission, and a large Debye-Waller factor, while the fast spin manipulation and techniques to extend the spin coherence time are under active investigation. Here, we demonstrate full coherent control over the state of a single SiV- center in a diamond nanopillar using ultrafast optical pulses. The high quality of the chemical vapor deposition grown SiV- centers allows us to coherently manipulate and quasi-resonantly read out the state of the single SiV- center. Moreover, the SiV- centers being coherently controlled are integrated into diamond nanopillar arrays in a site-controlled, individually addressable manner with high yield, low strain, and high spectral stability, which paves the way for scalable on chip optically accessible quantum system in a quantum photonic network. Financial support is provided by the DOE Office of Basic Energy Sciences, Division of Materials Sciences through Stanford Institute for Materials and Energy Sciences (SIMES) under contract DE-AC02-76SF00515.

  7. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  8. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  9. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  10. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  11. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  12. A comparative machining study of diamond-coated tools made by ...

    Indian Academy of Sciences (India)

    The successful implementation of diamond coatings also expedited similar research in the deposition of cubic boron nitride. This paper presents superhard coating tools, with emphasis on diamond-coated WC–Co tools, the corresponding deposition of technologies and the foreseen metal-cutting applications.

  13. Transparent nanocrystalline diamond coatings and devices

    Science.gov (United States)

    Sumant, Anirudha V.; Khan, Adam

    2017-08-22

    A method for coating a substrate comprises producing a plasma ball using a microwave plasma source in the presence of a mixture of gases. The plasma ball has a diameter. The plasma ball is disposed at a first distance from the substrate and the substrate is maintained at a first temperature. The plasma ball is maintained at the first distance from the substrate, and a diamond coating is deposited on the substrate. The diamond coating has a thickness. Furthermore, the diamond coating has an optical transparency of greater than about 80%. The diamond coating can include nanocrystalline diamond. The microwave plasma source can have a frequency of about 915 MHz.

  14. Structural and spectroscopic analysis of hot filament decomposed ethylene deposited at low temperature on silicon surface

    International Nuclear Information System (INIS)

    Tung, F.-K.; Perevedentseva, E.; Chou, P.-W.; Cheng, C.-L.

    2005-01-01

    The deposition of decomposed ethylene on silicon wafer at lower temperature using hot filament chemical vapor deposition (HFCVD) method was applied to compose thin film of carbon and its compounds with silicon and hydrocarbon structures. The films were analyzed using Raman spectroscopy, X-ray diffraction, and scanning electron microscopy with elemental microanalysis by energy dispersive X-ray spectrometer. The structure and morphology of the early stage of the film deposition was analyzed. The obtaining of SiC as well as diamond-like structure with this method and catalytic influence of chemical admixtures on the film structure and properties are discussed

  15. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  16. Electron field emission from boron doped microcrystalline diamond

    International Nuclear Information System (INIS)

    Roos, M.; Baranauskas, V.; Fontana, M.; Ceragioli, H.J.; Peterlevitz, A.C.; Mallik, K.; Degasperi, F.T.

    2007-01-01

    Field emission properties of hot filament chemical vapor deposited boron doped polycrystalline diamond have been studied. Doping level (N B ) of different samples has been varied by the B/C concentration in the gas feed during the growth process and doping saturation has been observed for high B/C ratios. Threshold field (E th ) for electron emission as function of B/C concentration has been measured, and the influences of grain boundaries, doping level and surface morphology on field emission properties have been investigated. Carrier transport through conductive grains and local emission properties of surface sites have been figured out to be two independent limiting effects in respect of field emission. Emitter current densities of 500 nA cm -2 were obtained using electric fields less than 8 V/μm

  17. Frictional and Optical Properties of Diamond-Like-Carbon Coatings on Polycarbonate

    International Nuclear Information System (INIS)

    Lin Zeng; Gao Ding; Ba Dechun; Wang Feng; Liu Chunming

    2013-01-01

    In this work, diamond-like-carbon (DLC) films were deposited onto polycarbonate (PC) substrates by radio-frequency plasma-enhanced chemical vapor deposition (RF PECVD), and silicon films were prepared between DLC and PC substrates by magnetron sputtering deposition so as to improve the adhesion of the DLC films. The deposited films were investigated by means of field-emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Subsequently, the following frictional and optical properties of the films were measured: the friction coefficient by using a ball-on-disk tribometer, the scratch hardness by using a nano-indenter, the optical transmittance by using a UV/visible spectrometer. The effects of incident power upon the frictional and optical properties of the films were investigated. Films deposited at low incident powers showed large optical gaps, which decreased with increasing incident power. The optical properties of DLC films correlated to the sp 2 content of the coatings. High anti-scratch properties were obtained at higher values of incident power. The anti-scratch properties of DLC films correlated to the sp 3 content of the coatings

  18. Spectroscopic diagnostics and modeling of Ar/H2/CH4 microwave discharges used for nanocrystalline diamond deposition

    International Nuclear Information System (INIS)

    Lombardi, G.; Hassouni, K.; Benedic, F.; Mohasseb, F.; Roepcke, J.; Gicquel, A.

    2004-01-01

    In this paper Ar/H 2 /CH 4 microwave discharges used for nanocrystalline diamond chemical vapor deposition in a bell-jar cavity reactor were characterized by both experimental and modeling investigations. Discharges containing 1% CH 4 and H 2 percentages ranging between 2% and 7% were analyzed as a function of the input microwave power under a pressure of 200 mbar. Emission spectroscopy and broadband absorption spectroscopy were carried out in the UV-visible spectral range in order to estimate the gas temperature and the C 2 density within the plasma. Infrared tunable diode laser absorption spectroscopy was achieved in order to measure the mole fractions of carbon-containing species such as CH 4 , C 2 H 2 , and C 2 H 6 . A thermochemical model was developed and used in order to estimate the discharge composition, the gas temperature, and the average electron energy in the frame of a quasihomogeneous plasma assumption. Experiments and calculations yielded consistent results with respect to plasma temperature and composition. A relatively high gas temperature ranging between 3000 and 4000 K is found for the investigated discharge conditions. The C 2 density estimated from both experiments and modeling are quite high compared with what is generally reported in the literature for the same kind of plasma system. It ranges between 10 13 and 10 14 cm -3 in the investigated power range. Infrared absorption measurements and model predictions indicate quite low densities of methane and acetylene, while the atomic carbon density calculated by the model ranges between 10 13 and 10 15 cm -3 . The methane and hydrogen introduced in the feed gas are subject to a strong dissociation, which results in a surprisingly high H-atom population with mole fraction ranging between 0.04 and 0.16. Result analysis shows that the power coupling efficiency would range between 70% and 90%, which may at least explain the relatively high values obtained, as compared with those reported in the

  19. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  20. TL and LOE dosimetric evaluation of diamond films exposed to beta and ultraviolet radiation

    International Nuclear Information System (INIS)

    Preciado F, S.; Melendrez, R.; Chernov, V.; Barboza F, M.; Schreck, M.; Cruz Z, E.

    2005-01-01

    The diamond possesses a privileged position regarding other materials of great technological importance. Their applications go from the optics, microelectronics, metals industry, medicine and of course as dosemeter, in the registration and detection of ionizing and non ionizing radiation. In this work the results of TL/LOE obtained in two samples of diamond of 10 μm thickness grown by the chemical vapor deposition method (CVD) assisted by microwave plasma. The films were deposited in a silicon substrate (001) starting from a mixture of gases composed of CH 4 /H 2 and 750 ppm of molecular nitrogen as dopant. The samples were exposed to beta radiation (Sr 90 / Y 90 ) and ultraviolet, being stimulated later on thermal (TL) and optically (LOE) to evaluate their dosimetric properties. The sample without doping presented high response TL/LOE to the ultraviolet and beta radiation. The TL glow curve of the sample without doping showed two TL peaks with second order kinetics in the range of 520 to 550 K, besides a peak with first order kinetics of more intensity around 607 K. The TL efficiency of the non doped sample is bigger than the doped with nitrogen; however the LOE efficiency is similar in both samples. The results indicate that the CVD diamond possesses excellent perspectives for dosimetric applications, with special importance in radiotherapy due to it is biologically compatible with the human tissue. (Author)

  1. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  2. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  3. Spontaneous growth of whiskers from an interlayer of Mo sub 2 C beneath a diamond particle deposited in a combustion-flame

    Energy Technology Data Exchange (ETDEWEB)

    Okada, Katsuyuki; Komatsu, Shojiro; Ishigaki, Takamasa; Matsumoto, Seiichiro; Moriyoshi, Yusuke (National Inst. for Research in Inorganic Materials, Tsukuba, Ibaraki (Japan))

    1992-02-01

    When diamond particles deposited on a molybdenum substrate in a C{sub 2}H{sub -}O{sub 2} combustion-flame were kept for one year in the ambient atmosphere at room temperature, spontaneous whisker growth from an interlayer of Mo{sub 2}C beneath the diamond particles took place. The whiskers were clarified by electron probe micro-analysis (EPMA) and transmission electron microscopy (TEM) in a polycrystal composed of MoO{sub 2}, MoOC, and Mo{sub 2}C. The growth mechanism of them is discussed from two different points of view as follows: One is that the oxidation of an interlayer of Mo{sub 2}C beneath a diamond particle effectively reduces the surface free energy between the interlayer and diamond particle; consequently, the whisker can grow by using a screw dislocation. The other is that the internal stress existing between a diamond particle and an Mo{sub 2}C interlayer provides a very reactive zone where the growth of whisker takes place through the oxidation of Mo{sub 2}C. (orig.).

  4. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  5. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  6. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  7. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  8. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  9. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  10. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  11. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  12. Alluvial diamond resource potential and production capacity assessment of Mali

    Science.gov (United States)

    Chirico, Peter G.; Barthelemy, Francis; Kone, Fatiaga

    2010-01-01

    In May of 2000, a meeting was convened in Kimberley, South Africa, and attended by representatives of the diamond industry and leaders of African governments to develop a certification process intended to assure that rough, exported diamonds were free of conflictual concerns. This meeting was supported later in 2000 by the United Nations in a resolution adopted by the General Assembly. By 2002, the Kimberley Process Certification Scheme (KPCS) was ratified and signed by diamond-producing and diamond-importing countries. Over 70 countries were included as members of the KPCS at the end of 2007. To prevent trade in "conflict diamonds" while protecting legitimate trade, the KPCS requires that each country set up an internal system of controls to prevent conflict diamonds from entering any imported or exported shipments of rough diamonds. Every diamond or diamond shipment must be accompanied by a Kimberley Process (KP) certificate and be contained in tamper-proof packaging. The objective of this study was (1) to assess the naturally occurring endowment of diamonds in Mali (potential resources) based on geological evidence, previous studies, and recent field data and (2) to assess the diamond-production capacity and measure the intensity of mining activity. Several possible methods can be used to estimate the potential diamond resource. However, because there is generally a lack of sufficient and consistent data recording all diamond mining in Mali and because time to conduct fieldwork and accessibility to the diamond mining areas are limited, four different methodologies were used: the cylindrical calculation of the primary kimberlitic deposits, the surface area methodology, the volume and grade approach, and the content per kilometer approach. Approximately 700,000 carats are estimated to be in the alluvial deposits of the Kenieba region, with 540,000 carats calculated to lie within the concentration grade deposits. Additionally, 580,000 carats are estimated to have

  13. Effect of magnetic and electric coupling fields on micro- and nano- structure of carbon films in the CVD diamond process and their electron field emission property

    Science.gov (United States)

    Wang, Yijia; Li, Jiaxin; Hu, Naixiu; Jiang, Yunlu; Wei, Qiuping; Yu, Zhiming; Long, Hangyu; Zhu, Hekang; Xie, Youneng; Ma, Li; Lin, Cheng-Te; Su, Weitao

    2018-03-01

    In this paper, both electric field and magnetic field were used to assist the hot filament chemical vapor deposition (HFCVD) and we systematically investigated the effects of which on the (1) phase composition, (2) grain size, (3) thickness and (4) preferred orientation of diamond films through SEM, Raman and XRD. The application of magnetic field in electric field, so called ‘the magnetic and electric coupling fields’, enhanced the graphitization and refinement of diamond crystals, slowed down the decrease of film thickness along with the increase of bias current, and suppressed diamond (100) orientation. During the deposition process, the electric field provided additional energy to HFCVD system and generated large number of energetic particles which might annihilate at the substrate and lose kinetic energy, while the Lorentz force, provided by magnetic field, could constrict charged particles (including electrons) to do spiral movement, which prolonged their moving path and life, thus the system energy increased. With the graphitization of diamond films intensified, the preferred orientation of diamond films completely evolved from (110) to (100), until the orientation and diamond phase disappeared, which can be attributed to (I) the distribution and concentration ratio of carbon precursors (C2H2 and CH3) and (II) graphitization sequence of diamond crystal facets. Since the electron field emission property of carbon film is sensitive to the phase composition, thickness and preferred orientation, nano- carbon cones, prepared by the negative bias current of 20 mA and magnetic field strength of 80 Gauss, exhibited the lowest turn-on field of 6.1 V -1 μm-1.

  14. Diamond-like carbon prepared by pulsed laser deposition with ion bombardment: physical properties

    Science.gov (United States)

    Písařík, P.; Mikšovský, J.; Remsa, J.; Zemek, J.; Tolde, Z.; Jelínek, M.

    2018-01-01

    Diamond-like carbon (DLC) and titanium-doped DLC thin films were prepared by unique hybrid system consisting of pulsed laser deposition, ion source (bombardment) and magnetron sputtering. The influence of deposition parameters (ion energies, deposition pressures and magnetron power) on composition and physical properties was studied. Composition and sp 3/ sp 2 ratio were determined by XPS. sp 3/ sp 2 ratio was in the range from 1.4 to 2.2 for undoped DLC and from 3.4 to 4.8 for Ti-DLC. AFM showed that the layers were smooth, but with small amounts of random droplets. The measurements of the contact angle and determination of surface free energy were made for water, diiodomethane and ethylene glycol. Hardness and reduced Young's modulus varied from 20 to 31 GPa and from 182 to 276 GPa, respectively. Film adhesion was determined by scratch test; L C3 reached 23 N for DLC and 27 N for TiDLC. Optimization of sp 3/ sp 2 ratio, hardness and adhesion to biomedical alloys will advance the DLC coatings usability in the field of implantology.

  15. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  16. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  17. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  18. Diamond films deposited by oxygen-enhanced linear plasma chemistry

    Czech Academy of Sciences Publication Activity Database

    Kromka, Alexander; Babchenko, Oleg; Ižák, Tibor; Varga, Marián; Davydova, Marina; Krátká, Marie; Rezek, Bohuslav

    2013-01-01

    Roč. 5, č. 6 (2013), s. 509-514 ISSN 2164-6627 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional support: RVO:68378271 Keywords : diamond films * process gas chemistry * pulsed microwave plasma * surface conductivity of diamond Subject RIV: BM - Solid Matter Physics ; Magnetism

  19. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.