WorldWideScience

Sample records for vapor depositing pt

  1. Critical Factors Controlling Pd and Pt Potential in Porphyry Cu–Au Deposits: Evidence from the Balkan Peninsula

    Directory of Open Access Journals (Sweden)

    Demetrios G. Eliopoulos

    2014-03-01

    Full Text Available Porphyry Cu–Au–Pd±Pt deposits are significant Au resources, but their Pd and Pt potential is still unknown. Elevated Pd, Pt (hundreds of ppb and Au contents are associated with typical stockwork magnetite-bornite-chalcopyrite assemblages, at the central parts of certain porphyry deposits. Unexpected high grade Cu–(Pd+Pt (up to 6 ppm mineralization with high Pd/Pt ratios at the Elatsite porphyry deposit, which is found in a spatial association with the Chelopech epithermal deposit (Bulgaria and the Skouries porphyry deposit, may have formed during late stages of an evolved hydrothermal system. Estimated Pd, Pt and Au potential for porphyry deposits is consistent with literature model calculations demonstrating the capacity of aqueous vapor and brine to scavenge sufficient quantities of Pt and Pd, and could contribute to the global platinum-group element (PGE production. Critical requirements controlling potential of porphyry deposits may be from the metals contained in magma (metasomatized asthenospheric mantle wedge as indicated by significant Cr, Co, Ni and Re contents. The Cr content may be an indicator for the mantle input.

  2. High-quality graphene grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition and its electrical transport properties

    Energy Technology Data Exchange (ETDEWEB)

    Yang, He; Shen, Chengmin, E-mail: cmshen@iphy.ac.cn; Tian, Yuan; Bao, Lihong; Chen, Peng; Yang, Rong; Yang, Tianzhong; Li, Junjie; Gu, Changzhi; Gao, Hong-Jun [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-02-08

    High-quality continuous uniform monolayer graphene was grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition. The morphology of graphene was investigated by Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. Analysis results confirm that high quality single-layer graphene was fabricated on PtRh{sub 20} foil at 1050 °C using a lower flux of methane under low pressure. Graphene films were transferred onto the SiO{sub 2}/Si substrate by the bubbling transfer method. The mobility of a test field effect transistor made of the graphene grown on PtRh{sub 20} was measured and reckoned at room temperature, showing that the carrier mobility was about 4000 cm{sup 2} V{sup −1} s{sup −1}. The results indicate that desired quality of single-layer graphene grown on PtRh{sub 20} foils can be obtained by tuning reaction conditions.

  3. Effect of Pt coverage in Pt-deposited Pd nanostructure electrodes on electrochemical properties

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ah-Reum; Lee, Young-Woo; Kwak, Da-Hee; Park, Kyung-Won [Soongsil University, Seoul (Korea, Republic of)

    2015-06-15

    We have fabricated Pt-deposited Pd electrodes via a two-gun sputtering deposition system by separately operating Pd and Pt target as a function of sputtering time of Pt target. For Pt-deposited Pd electrodes (Pd/Pt-X), Pd were first deposited on the substrates at 20 W for 5min, followed by depositing Pt on the Pd-only electrodes as a function of sputtering time (X=1, 3, 5, 7, and 10min) at 20W on the Pt target. As the sputtering time of Pt target increased, the portion of Pt on the Pd electrodes increased, representing an increased coverage of Pt on the Pd electrodes. The Pd/Pt-7 electrode having an optimized Pt coverage exhibits an excellent electrocatalytic activity for methanol oxidation reaction.

  4. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  5. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  6. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    Science.gov (United States)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  7. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  8. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  9. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  10. Doping characteristics of iodine on as-grown chemical vapor deposited graphene on Pt

    Energy Technology Data Exchange (ETDEWEB)

    Kim, HoKwon, E-mail: hknano@gmail.com [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble (France); Renault, Olivier [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble (France); Tyurnina, Anastasia; Guillet, Jean-François; Simonato, Jean-Pierre [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LITEN/DTMN, F-38054 Grenoble (France); Rouchon, Denis; Mariolle, Denis; Chevalier, Nicolas [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble (France); Dijon, Jean [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LITEN/DTMN, F-38054 Grenoble (France)

    2015-12-15

    Using laboratory X-ray photoelectron emission microscopy (XPEEM), we investigated the doping efficiency and thermal stability of iodine on as-grown graphene on Pt. After iodine adsorption of graphene in saturated vapor of I{sub 2,} monolayer and bilayer graphene exhibited work function of 4.93 eV and 4.87 eV, respectively. Annealing of the doped monolayer graphene at 100 °C led to desorption of hydrocarbons, which increased the work function of monolayer graphene by ~0.2 eV. The composition of the polyiodide complexes evolved upon a step-by-step annealing at temperatures from 100 °C to 300 °C while the work-function non-monotonically changed with decreasing iodine content. The iodine dopant was stable at relatively high temperature as a significant amount of iodine remained up to the annealing temperature of 350 °C. - Highlights: • Laboratory XPEEM demonstrates that iodine can effectively p-dope as-grown graphene on Pt with a work-function value up to 5.1 eV. • On the other hand, residual hydrocarbon contamination decreases the work function by up to ~0.2 eV. • The spontaneous intercalation of as-grown few-layered graphene is not easily feasible. • The iodine dopant was not completely removed up to the annealing temperature of 350 °C. • The I{sub 3}{sup −} and I{sub 5}{sup −} polyiodide content ratio of I-doped Gr/Pt decreases with annealing temperature.

  11. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  12. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  13. Characterization of Pb(Zr, Ti)O sub 3 thin films prepared by metal-organic chemical-vapor deposition using a solid delivery system

    CERN Document Server

    Shin, J C; Hwang, C S; Kim, H J; Lee, J M

    1999-01-01

    Pb(Zr, Ti)O sub 3 (PZT) thin films were deposited on Pt/SiO sub 2 /Si substrates by metal-organic chemical-vapor deposition technique using a solid delivery system to improve the reproducibility of the deposition. The self-regulation mechanism, controlling the Pb-content of the film, was observed to work above a substrate temperature of 620 .deg. C. Even with the self-regulation mechanism, PZT films having low leakage current were obtained only when the molar mixing ratio of the input precursors was 1Pt/PZT/Pt capacitor was Schottky emission with a barrier height of 1.36 eV.

  14. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  15. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  16. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  17. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  18. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  19. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    Science.gov (United States)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  20. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  1. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  2. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  3. Atomic layer deposition synthesis and evaluation of core–shell Pt-WC electrocatalysts

    International Nuclear Information System (INIS)

    Hsu, Irene J.; Chen, Jingguang G.; Jiang, Xiaoqiang; Willis, Brian G.

    2015-01-01

    Pt-WC core shell particles were produced using atomic layer deposition (ALD) to deposit Pt layers onto WC particle substrates. A range of Pt depositions were used to determine the growth mechanism for the Pt-WC powder system. TEM imaging and Cu stripping voltammetry found that Pt ALD growth on WC powder substrates was similar to that on WC thin films. However, excess free carbon was found to affect Pt ALD by blocking adsorption sites on WC. The Pt-WC samples were evaluated for the oxygen reduction reaction using a rotating disk electrode to obtain quantitative activity information. The mass and specific activities for the 30 and 50 ALD cycle samples were found to be comparable to a 10 wt. % Pt/C catalyst. However, higher overpotentials and lower limiting currents were observed with ALD Pt-WC compared to Pt/C catalysts, indicating that the oxygen reduction mechanism is not as efficient on Pt-WC as on bulk Pt. Additionally, these Pt-WC catalysts were used to demonstrate hydrogen evolution reaction activity and were found to perform as well as bulk Pt catalyst but with a fraction of the Pt loading, in agreement with the previous work on Pt-WC thin film catalysts

  4. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  5. Structure and electrical properties of Pb(ZrxTi1-x)O3 deposited on textured Pt thin films

    International Nuclear Information System (INIS)

    Hong, Jongin; Song, Han Wook; Lee, Hee Chul; Lee, Won Jong; No, Kwangsoo

    2001-01-01

    The texturing of the bottom electrode plays a key role in the structure and electrical properties of Pb(Zr,Ti)O 3 (PZT) thin films. We fabricated Pt bottom electrodes having a different thickness on MgO single crystals at 600 o C by rf magnetron sputtering. As the thickness of platinum (Pt) thin film increased, the preferred orientation of Pt thin film changed from (200) to (111). PZT thin films were fabricated at 450 o C by electron cyclotron resonance-plasma enhanced metal organic chemical vapor deposition on the textured Pt thin films. The texturing of the bottom electrode caused drastic changes in the C--V characteristics, P--E characteristics, and fatigue characteristics of metal/ferroelectric material/metal (MFM) capacitors. The difference of the electrical properties between the PZT thin films having different texturing was discussed in terms-of the x--y alignment and the interface between electrode and PZT in MFM capacitors. copyright 2001 American Institute of Physics

  6. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  7. Spontaneous deposition of Ru on Pt (100: morphological and electrochemical studies. Preliminary results of ethanol oxidation at Pt(100/Ru

    Directory of Open Access Journals (Sweden)

    Colle Vinicius D.

    2003-01-01

    Full Text Available In the present work ruthenium was deposited in submonolayer amounts on Pt(100 by spontaneous deposition at several deposition times. The Pt (100/Ru surfaces were analyzed using ex-situ STM to image the deposits characteristic of ruthenium on Pt (100. It was observed the formation of ruthenium islands with diameters between 1.0 and 4.5 nm with bi-atomic thickness in the center of the islands. A homogeneous distribution of the ruthenium islands on the platinum terraces was found, with no preferential deposition on steps or surface defect sites. The ruthenium coverage degree had been calculated by the decrease of charge of the hydrogen adsorption-desorption peaks in the cyclic voltammograms of the Pt(100/Ru electrodes. The Pt(100/Ru electrodes with a ruthenium coverage degree of ca. 0.3 showed a high activity for the ethanol electrooxidation. The electrochemical experimental results support strongly the bifunctional mechanism for the enhanced ethanol oxidation.

  8. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  9. Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source

    Energy Technology Data Exchange (ETDEWEB)

    Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek

    2014-11-28

    In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.

  10. Thermal shock behavior of platinum aluminide bond coat/electron beam-physical vapor deposited thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhenhua, E-mail: zhxuciac@163.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Dai, Jianwei [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Niu, Jing [Shenyang Liming Aero-engine (Group) Corporation Ltd., Institute of Metallurgical Technology, Technical Center, Shengyang 110043 (China); Li, Na; Huang, Guanghong; He, Limin [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China)

    2014-12-25

    Highlights: • TBCs of (Ni, Pt)Al bond coat with grit blasting process and YSZ ceramic coating. • Grain boundary ridges are the sites for spallation damage initiation in TBCs. • Ridges removed, cavities formation appeared and the damage initiation deteriorated. • Damage initiation and progression at interface lead to a buckling failure. - Abstract: Thermal barrier coating systems (TBCs) including of chemical vapor deposited (Ni, Pt)Al bond coat with grit blasting process and electron beam physical vapor deposited Y{sub 2}O{sub 3}-stabilized-ZrO{sub 2} (YSZ) ceramic coating were investigated. The phase structures, surface and cross-sectional morphologies, thermal shock behaviors and residual stresses of the coatings were studied in detail. Grain boundary ridges still remain on the surface of bond coat prior to the deposition of the ceramic coating, which are shown to be the major sites for spallation damage initiation in TBCs. When these ridges are mostly removed, they appear some of cavities formation and then the damage initiation mode is deteriorated. Damage initiation and progression occurs at the bond coat to thermally grown oxide (TGO) interface leading to a buckling failure behavior. A buckle failure once started may be arrested when it runs into a region of high bond coat to TGO interface toughness. Thus, complete failure requires further loss in toughness of the bond coat to TGO interface during cooling. The suppressed cavities formation, the removed ridges at the grain boundaries, the relative high TGO to bond coat interface toughness, the uniform growth behavior of TGO thickening and the lower of the residual stress are the primary factors for prolonging the lifetime of TBCs.

  11. Properties of Pt/C catalyst modified by chemical vapor deposition of Cr as a cathode of phosphoric acid fuel cell

    International Nuclear Information System (INIS)

    Seo, Sang Joon; Joh, Han-Ik; Kim, Hyun Tae; Moon, Sang Heup

    2006-01-01

    Cr-modified Pt/C catalysts were prepared by the chemical vapour deposition (CVD) of Cr on Pt/C, and their performance as a cathode of phosphoric acid fuel cell (PAFC) was compared with the case of catalysts containing Cr added by impregnation (IMP). The catalyst prepared by CVD showed a higher activity for oxygen reduction reaction (ORR) than one prepared by IMP. There was an optimum amount of Cr that yielded the maximum mass activity of the catalyst because the gain in the intrinsic activity due to the promotional effect of Cr was counterbalanced by the loss of exposed Pt surface area as a result of the Cr introduction. Nevertheless, the activity increase at the optimum amount of Cr was greater for the CVD catalyst than for the IMP catalyst. Also, the optimum amount of Cr to yield the maximum activity was smaller for the former catalyst [Cr/Pt] CVD = 0.6, than for the latter, [Cr/Pt] IMP = 1.0. The enhancement of the Pt catalyst activity by Cr addition is attributed to two factors: changes in the surface Pt-Pt spacing and the electronic modification of the Pt surface. The formation of a Pt-Cr alloy, as confirmed by X-ray diffraction, decreased the lattice parameter of Pt, which was beneficial to the catalyst activity for ORR. X-ray photoelectron spectroscopy results showed that the binding energies of Pt electrons were shifted to higher energies due to Cr modification. Accordingly, the electron density of Pt was lowered and the Pt-O bond became weak on the Cr-modified catalysts, which was also beneficial to the catalyst activity for ORR. The promotion of oxygen reduction on Cr-modified catalysts was confirmed by measuring the cyclic voltammograms of the catalysts. All the above changes were made more effectively for catalysts prepared by CVD than for those prepared by IMP because the former method allowed Cr to interact more closely with the Pt surface than the latter, which was demonstrated by the characterization of catalysts in this study

  12. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  13. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  14. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  15. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  16. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  17. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  18. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  19. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  20. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  1. Purity and resistivity improvements for electron-beam-induced deposition of Pt

    Energy Technology Data Exchange (ETDEWEB)

    Mulders, J.J.L. [FEI Company, Eindhoven (Netherlands)

    2014-12-15

    Electron-beam-induced deposition (EBID) of platinum is used by many researchers. Its main application is the formation of a protective layer and the ''welding material'' for making a TEM lamella with a focused ion beam thinning process. For this application, the actual composition of the deposition is less relevant, and in practice, both the mechanical strength and the conductivity are sufficient. Another important application is the creation of an electrical connection to nanoscale structures such as nano-wires and graphene. To serve as an electrical contact, the resistivity of the Pt deposited structure has to be sufficiently low. Using the commonly used precursor MeCpPtMe{sub 3} for deposition, the resistivity as created by the basic process is 10{sup +5}-10{sup +6} higher than the value for bulk Pt, which is 10.6 μΩ cm. The reason for this is the high abundance of carbon in the deposition. To improve the deposition process, much attention has been given by the research community to parameter optimization, to ex situ or in situ removal of carbon by anneal steps, to prevention of carbon deposition by use of a carbon-free precursor, to electron beam irradiation under a high flux of oxygen and to the combination with other techniques such as atomic layer deposition (ALD). In the latter technique, the EBID structures are used as a 1-nm-thick seed layer only, while the ALD is used to selectively add pure Pt. These techniques have resulted in a low resistivity, today approaching the 10-150 μΩ cm, while the size and shape of the structure are preserved. Therefore, now, the technique is ready for application in the field of contacting nano-wires. (orig.)

  2. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  3. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  4. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  5. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  6. Preparation of Stable Pt-Clay Nanocatalysts for Self-humidifying Proton Exchange Membrane Fuel Cells

    DEFF Research Database (Denmark)

    Zhang, Wenjing

    and complexity of the whole system. Therefore, we have designed a novel Pt-clay nanocatalyst and developed a Pt-clay/Nafion nanocomposite membrane to significantly enhanced proton conductivity without any external humidification. In this study, monolayer of Pt nanoparticles of diameters of 2-3 nm with a high...... crystallinity were successfully anchored onto exfoliated nanoclay surfaces using a novel chemical vapor deposition process. Chemical bonding of Pt to the oxygen on the clay surface ensured the stability of the Pt nanoparticles, and hence, no leaching of Pt particles was observed after a prolonged...

  7. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  8. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  9. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  10. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  11. Room temperature deposition of perpendicular magnetic anisotropic Co{sub 3}Pt thin films on glass substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yu-Shen; Dai, Hong-Yu; Hsu, Yi-Wei [Department of Chemical Engineering and Materials Science, Yuan-Ze University, Chung-Li 32003, Taiwan (China); Ou, Sin-Liang, E-mail: slo@mail.dyu.edu.tw [Department of Materials Science and Engineering, Da-Yeh University, Changhua 51591, Taiwan (China); Chen, Shi-Wei [National Synchrotron Radiation Research Center (NSRRC), Hsinchu 300, Taiwan (China); Lu, Hsi-Chuan; Wang, Sea-Fue [Department of Materials and Mineral Resources Engineering, National Taipei University of Technology, Taipei 106, Taiwan (China); Sun, An-Cheng, E-mail: acsun@saturn.yzu.edu.tw [Department of Chemical Engineering and Materials Science, Yuan-Ze University, Chung-Li 32003, Taiwan (China)

    2017-03-01

    Co{sub 3}Pt alloy thin films were deposited on the glass substrate at room temperature (RT) and 300 °C, which showed high perpendicular magnetic anisotropy (PMA) and isotropy magnetic behaviors, respectively. Co{sub 3}Pt HCP (0002) planes grew along the substrate plane for the films deposited at RT. The easy axis [0001] was consequently vertical to the substrate surface and obtained the predominant PMA. Large magnetic domains and sharp boundary also supported high PMA in RT-deposited samples. On the other hand, the PMA was significantly decreased with increasing the deposition temperature from RT to 300 °C. Hard HCP(0002) and soft A1(111) co-existed in the film and the magnetic exchanged coupling between these two phases induced isotropy magnetic behavior. In addition, the various thicknesses (t) of the RT-deposited Co{sub 3}Pt films were deposited with different base pressures prior to sputtering. The Kerr rotation loops showed high PMA and out-of-plane squareness (S{sub ⊥}) of ~0.9 were found in low base pressure chamber. Within high base pressure chamber, Co{sub 3}Pt films just show magnetic isotropy behaviors. This study provides a fabrication method for the preparation of high PMA HCP-type Co{sub 3}Pt films on the glass substrate without any underlayer at RT. The results could be the base for future development of RT-deposited magnetic alloy thin film with high PMA. - Highlights: • Fabricated high perpendicular magnetic anisotropy Co{sub 3}Pt thin film on glass substrate. • Prepared HCP Co{sub 3}Pt thin film at room temperature. • The key to enhance the PMA of the Co{sub 3}Pt films. • Thinner film is good to fabricate PMA Co{sub 3}Pt thin films.

  12. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  13. A study on the microstructure of Pt/TaN/Si films by high resolution TEM analysis

    CERN Document Server

    Cho, K N; Oh, J E; Park, C S; Lee, S I; Lee, M Y

    1998-01-01

    The microstructure change of Pt/amorphous TaN/Si films after various heat treatments has been investigated by high resolution transmission electron microscopy (HR-TEM) analysis. TaN thin films are deposited by remote plasma metalorganic chemical vapor deposition (RP-MOCVD) using pentakis-dimethyl-amino-tantalum (PDMATa) and radical sources, hydrogen and ammonia plasma. Deposited TaN thin film shows excellent barrier properties such as good resistance against oxidation after post-heat treatment at high temperature. In the case of hydrogen plasma, however, diffusion of Pt into TaN layer was observed, which was caused by the out-diffusion of carbon through the grain boundaries of Pt. In the case of ammonia plasma, the formation of thin oxide layer at the Pt/TaN interface was observed.

  14. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  15. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  16. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  19. CoPt nanoparticles deposited by electron beam evaporation

    International Nuclear Information System (INIS)

    Castaldi, L.; Giannakopoulos, K.; Travlos, A.; Niarchos, D.; Boukari, S.; Beaurepaire, E.

    2005-01-01

    Co 50 Pt 50 nanoparticles were co-deposited on thermally oxidized Si substrates by electron beam evaporation at 750 deg C. The mean particle sizes are between ∼5 and ∼20 nm and depend on the nominal thickness of the layer. Different processing conditions resulted in different structural and morphological properties of the samples which led to superparamagnetic and ferromagnetic behaviors. The post-annealing treatment of the CoPt nanograins resulted in the crystallization of the L1 0 ordered phase and in the magnetic hardening of nanoparticles with a maximum coercivity of ∼7.4 kOe

  20. Monometallic Pd and Pt and Bimetallic Pd-Pt/Al2O3-TiO2 for the HDS of DBT: Effect of the Pd and Pt Incorporation Method

    Directory of Open Access Journals (Sweden)

    Reynaldo Martínez Guerrero

    2014-01-01

    Full Text Available The effect of the preparation method of monometallic Pd and Pt and bimetallic Pd-Pt/Al2O3-TiO2 catalysts on the hydrodesulfurization (HDS of dibenzothiophene (DBT was investigated in this study. The synthesis was accomplished using three methods: (A impregnation, (B metal organic chemical vapor deposition (MOCVD, and (C impregnation-MOCVD. The bimetallic Pd-Pt catalyst prepared by the impregnation-MOCVD method was most active for the HDS of DBT compared to those prepared by the single impregnation or MOCVD method due to the synergetic effect between both noble metals. The greater selectivity toward biphenyl indicated that this bimetallic Pd-Pt catalyst preferentially removes sulfur via the direct desulfurization mechanism. However, the bimetallic Pd-Pt catalyst prepared using the single MOCVD method did not produce any cyclohexylbenzene, which is most likely associated with the hydrogenation/dehydrogenation sites.

  1. Synthesis of Pt-Sn core-shell nanoparticles deposited on SBA-15 modified

    Energy Technology Data Exchange (ETDEWEB)

    Alvarez-Contreras, L.; Alonso-Lemus, I. [Centro de Investigacion en Materiales Avanzados S.C., Laboratorio Nacional de Nanotecnologia (Mexico); Botte, G. G. [Ohio University, Center for Electrochemical Engineering Research, Department of Chemical and Biomolecular Engineering (United States); Verde-Gomez, Y., E-mail: ysmaelverde@yahoo.com [Instituto Tecnologico de Cancun (Mexico)

    2013-07-15

    A novel one-step synthesis method to prepare Pt-Sn bimetallic nanoparticles supported on mesoporous silica with high surface area (SBA-15, 700 m{sup 2}/g) and narrow pore size distribution (around 9.5 nm) was developed. Tin incorporation plays an important dual role, to create active sites into the silica walls that serve as particles anchors center, and to grow Pt-Sn core-shell nanoparticles. High-resolution transmission and scanning electron microscopy, and X-ray diffraction pattern confirm the formation of the Pt-Sn core-shell type nanoparticles ( Almost-Equal-To 1-10 nm). The metal loading was 2.2 and 2.3 wt% for Pt and Sn, respectively. Electron microscopy results show that the metal nanoparticles were deposited not only on the matrix, but also inside of it. Structural, textural, and morphological features of the SBA-15 were slightly affected after the nanoparticles deposition, maintaining its high surface area. The results obtained suggest that Pt-Sn on SBA-15 could be attractive material for several catalytic applications, due to the narrow particle size distribution achieved (from 1 to 10 nm) the high dispersion on the support, as well as the Pt-Sn alloy developed.Graphical Abstract.

  2. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  3. Enhancement of coercivity with reduced grain size in CoCrPt film grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Liang, Q.; Hu, X.F.; Li, H.Q.; He, X.X.; Wang, Xiaoru; Zhang, W.

    2006-01-01

    We report a pulsed laser deposition (PLD) growth of VMn/CoCrPt bilayer with a magnetic coercivity (H c ) of 2.2 kOe and a grain size of 12 nm. The effects of VMn underlayer on magnetic properties of CoCrPt layer were studied. The coercivity, H c , and squareness, S, of VMn/CoCrPt bilayer, is dependent on the thickness of VMn. The grain size of the CoCrPt film can also be modified by laser parameters. High laser fluence used for CoCrPt deposition produces a smaller grain size. Enhanced H c and reduced grain size in VMn/CoCrPt is explained by more pronounced surface phase segregation during deposition at high laser fluence

  4. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  5. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  6. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  7. Characterization and methanol electrooxidation studies of Pt(111)/Os surfaces prepared by spontaneous deposition.

    Science.gov (United States)

    Johnston, Christina M; Strbac, Svetlana; Lewera, Adam; Sibert, Eric; Wieckowski, Andrzej

    2006-09-12

    Catalytic activity of the Pt(111)/Os surface toward methanol electrooxidation was optimized by exploring a wide range of Os coverage. Various methods of surface analyses were used, including electroanalytical, STM, and XPS methods. The Pt(111) surface was decorated with nanosized Os islands by spontaneous deposition, and the Os coverage was controlled by changing the exposure time to the Os-containing electrolyte. The structure of Os deposits on Pt(111) was characterized and quantified by in situ STM and stripping voltammetry. We found that the optimal Os surface coverage of Pt(111) for methanol electrooxidation was 0.7 +/- 0.1 ML, close to 1.0 +/- 0.1 Os packing density. Apparently, the high osmium coverage Pt(111)/Os surface provides more of the necessary oxygen-containing species (e.g., Os-OH) for effective methanol electrooxidation than the Pt(111)/Os surfaces with lower Os coverage (vs e.g., Ru-OH). Supporting evidence for this conjecture comes from the CO electrooxidation data, which show that the onset potential for CO stripping is lowered from 0.53 to 0.45 V when the Os coverage is increased from 0.2 to 0.7 ML. However, the activity of Pt(111)/Os for methanol electrooxidation decreases when the Os coverage is higher than 0.7 +/- 0.1 ML, indicating that Pt sites uncovered by Os are necessary for sustaining significant methanol oxidation rates. Furthermore, osmium is inactive for methanol electrooxidation when the platinum substrate is absent: Os deposits on Au(111), a bulk Os ingot, and thick films of electrodeposited Os on Pt(111), all compare poorly to Pt(111)/Os. We conclude that a bifunctional mechanism applies to the methanol electrooxidation similarly to Pt(111)/Ru, although with fewer available Pt sites. Finally, the potential window for methanol electrooxidation on Pt(111)/Os was observed to shift positively versus Pt(111)/Ru. Because of the difference in the Os and Ru oxophilicity under electrochemical conditions, the Os deposit provides fewer

  8. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  9. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  10. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  11. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  12. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  13. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  14. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  15. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  16. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  17. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  18. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  19. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  20. Co-deposition of Pt and ceria anode catalyst in supercritical carbon dioxide for direct methanol fuel cell applications

    International Nuclear Information System (INIS)

    You, Eunyoung; Guzmán-Blas, Rolando; Nicolau, Eduardo; Aulice Scibioh, M.; Karanikas, Christos F.; Watkins, James J.; Cabrera, Carlos R.

    2012-01-01

    Pt and mixed Pt-ceria catalysts were deposited onto gas diffusion layers using supercritical fluid deposition (SFD) to fabricate thin layer electrodes for direct methanol fuel cells. Dimethyl (1,5-cyclooctadiene) platinum (II) (CODPtMe 2 ) and tetrakis (2,2,6,6-tetramethyl 3,5-heptanedionato) cerium (IV) (Ce(tmhd) 4 ) were used as precursors. Hydrogen-assisted Pt deposition was performed in compressed carbon dioxide at 60 °C and 17.2 MPa to yield high purity Pt on carbon-black based gas diffusion layers. During the preparation of the mixed Pt-ceria catalyst, hydrogen reduction of CODPtMe 2 to yield Pt catalyzed the deposition of ceria from Ce(tmhd) 4 enabling co-deposition at 150 °C. The catalyst layers were characterized using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and scanning electron microscope-energy dispersive spectral (SEM-EDS) analyses. Their electrochemical performance toward methanol oxidation was examined in half cell mode using a three electrode assembly as well as in fuel cell mode. The thin layer electrodes formed via SFD exhibited higher performance in fuel cell operations compared to those prepared by the conventional brush-paint method. Furthermore, the Pt-ceria catalyst with an optimized composition exhibited greater methanol oxidation activity than pure platinum.

  1. Growth and microstructure of columnar Y-doped SrZrO{sub 3} films deposited on Pt-coated MgO by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Sijun, E-mail: sluo1@tulane.edu; Riggs, Brian C.; Shipman, Joshua T.; Adireddy, Shiva; Sklare, Samuel C.; Chrisey, Douglas B., E-mail: dchrisey@tulane.edu [Department of Physics and Engineering Physics, Tulane University, New Orleans, Louisiana 70118 (United States); Zhang, Xiaodong; Koplitz, Brent [Department of Chemistry, Tulane University, New Orleans, Louisiana 70118 (United States)

    2015-07-21

    Direct integration of proton conductor films on Pt-coated substrates opens the way to film-based proton transport devices. Columnar SrZr{sub 0.95}Y{sub 0.05}O{sub 3−δ} (SZY) films with dense microstructure were deposited on Pt-coated MgO(100) substrates at 830 °C by pulsed laser deposition. The optimal window of ambient O{sub 2} pressure for good crystallinity of SZY films is from 400 to 600 mTorr. The ambient O{sub 2} compresses the plasma plume of SZY and increases the deposition rate. The 10 nm thick Ti adhesion layer on MgO(100) greatly affects the orientation of the sputtered Pt layers. Pt deposited directly on MgO shows a highly (111)-preferred orientation and leads to preferentially oriented SZY films while the addition of a Ti adhesion layer makes Pt show a less preferential orientation that leads to randomly oriented SZY films. The RMS surface roughness of preferentially oriented SZY films is larger than that of randomly oriented SZY films deposited under the same ambient O{sub 2} pressure. As the O{sub 2} pressure increased, the RMS surface roughness of preferentially oriented SZY films increased, reaching 45.7 nm (2.61% of film thickness) at 600 mTorr. This study revealed the ambient O{sub 2} pressure and orientation dependent surface roughness of SZY films grown on Pt-coated MgO substrates, which provides the potential to control the surface microstructure of SZY films for electrochemical applications in film-based hydrogen devices.

  2. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  3. IBA analysis and corrosion resistance of TiAlPtN/TiAlN/TiAl multilayer films deposited over a CoCrMo using magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Canto, C.E., E-mail: carloscanto2012@yahoo.com.mx [Instituto de Física, Universidad Nacional Autónoma de México, Apartado Postal 20-364, 01000 México D.F. (Mexico); Andrade, E.; Lucio, O. de; Cruz, J.; Solís, C. [Instituto de Física, Universidad Nacional Autónoma de México, Apartado Postal 20-364, 01000 México D.F. (Mexico); Rocha, M.F. [ESIME-Z, IPN, U.P. ALM, Gustavo A. Madero, C.P. 07738 México D.F. (Mexico); Alemón, B. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, J. Guadalupe Zuno 48, Los Belenes, Zapopan, Jalisco 45101 (Mexico); Tecnológico de Monterrey, Av. General Ramón Corona 2514, Col. Nuevo México, Zapopan, Jalisco 45201 (Mexico); Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, J. Guadalupe Zuno 48, Los Belenes, Zapopan, Jalisco 45101 (Mexico); Huegel, J.C. [Tecnológico de Monterrey, Av. General Ramón Corona 2514, Col. Nuevo México, Zapopan, Jalisco 45201 (Mexico)

    2016-03-15

    The corrosion resistance and the elemental profile of multilayer coatings of TiAlPtN/TiAlN/TiAl synthesized by Physical Vapor Deposition (PVD) reactive magnetron sputtering over a CoCrMo alloy substrate in 10 periods of 30 min each were analyzed and compared to those of the substrate alone and to that of a TiAlPtN single layer coating of the same thickness. The objective of the present work was to create multilayers with different amounts of Pt to enhance the corrosion resistance of a biomedical alloy of CoCrMo. Corrosion tests were performed using Simulated Body Fluid (SBF) using potentiodynamic polarization tests at typical body temperature. The elemental composition and thickness of the coatings were evaluated with the combination of two ion beam analysis (IBA) techniques: a Rutherford Backscattering Spectroscopy (RBS) with alpha beam and a Nuclear Reaction Analysis with a deuteron beam.

  4. IBA analysis and corrosion resistance of TiAlPtN/TiAlN/TiAl multilayer films deposited over a CoCrMo using magnetron sputtering

    International Nuclear Information System (INIS)

    Canto, C.E.; Andrade, E.; Lucio, O. de; Cruz, J.; Solís, C.; Rocha, M.F.; Alemón, B.; Flores, M.; Huegel, J.C.

    2016-01-01

    The corrosion resistance and the elemental profile of multilayer coatings of TiAlPtN/TiAlN/TiAl synthesized by Physical Vapor Deposition (PVD) reactive magnetron sputtering over a CoCrMo alloy substrate in 10 periods of 30 min each were analyzed and compared to those of the substrate alone and to that of a TiAlPtN single layer coating of the same thickness. The objective of the present work was to create multilayers with different amounts of Pt to enhance the corrosion resistance of a biomedical alloy of CoCrMo. Corrosion tests were performed using Simulated Body Fluid (SBF) using potentiodynamic polarization tests at typical body temperature. The elemental composition and thickness of the coatings were evaluated with the combination of two ion beam analysis (IBA) techniques: a Rutherford Backscattering Spectroscopy (RBS) with alpha beam and a Nuclear Reaction Analysis with a deuteron beam.

  5. Sputtering ultra-small Pt on nanographitic flakes deposited by electrophoresis for ethanol electro oxidation

    Science.gov (United States)

    Daryakenari, Ahmad Ahmadi; Daryakenari, Mohammad Ahmadi; Omidvar, Hamid

    2018-01-01

    To acquire highly efficient and cost-effective fuel cells, numerous research works have been carried out to the development low cost and excellent performance of electrocatalysts. In this paper, a solution-based electrophoretic deposition (EPD) technique for fabrication of Pt-based catalyst layers is studied. Nanographitic flake coatings used as catalyst support for sputtered platinium (Pt) were fabricated via the electrophoretic deposition (EPD) of dispersed nanographitic flakes in isopropyl alcohol. Magnesium nitrate hexahydrate (MNH) was used as an additive binder in the EPD process. Subsequently, the platinium particles were deposited by a direct sputtering on the fabricated nanographitic flake coatings.

  6. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  7. Synthesis and Electrochemical Evaluation of Carbon Supported Pt-Co Bimetallic Catalysts Prepared by Electroless Deposition and Modified Charge Enhanced Dry Impregnation

    Directory of Open Access Journals (Sweden)

    John Meynard M. Tengco

    2016-06-01

    Full Text Available Carbon-supported bimetallic Pt-Co cathode catalysts have been previously identified as higher activity alternatives to conventional Pt/C catalysts for fuel cells. In this work, a series of Pt-Co/C catalysts were synthesized using electroless deposition (ED of Pt on a Co/C catalyst prepared by modified charge enhanced dry impregnation. X-ray diffraction (XRD and scanning transmission electron microscopy (STEM characterization of the base catalyst showed highly dispersed particles. A basic ED bath containing PtCl62− as the Pt precursor, dimethylamine borane as reducing agent, and ethylenediamine as stabilizing agent successfully targeted deposition of Pt on Co particles. Simultaneous action of galvanic displacement and ED resulted in Pt-Co alloy formation observed in XRD and energy dispersive X-ray spectroscopy (XEDS mapping. In addition, fast deposition kinetics resulted in hollow shell Pt-Co alloy particles while particles with Pt-rich shell and Co-rich cores formed with controlled Pt deposition. Electrochemical evaluation of the Pt-Co/C catalysts showed lower active surface but much higher mass and surface activities for oxygen reduction reaction compared to a commercial Pt/C fuel cell catalyst.

  8. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  9. Effect of Hf Additions to Pt Aluminide Bond Coats on EB-PVD TBC Life

    Science.gov (United States)

    Nesbitt, James; Nagaraj, Ben; Williams, Jeffrey

    2000-01-01

    Small Hf additions were incorporated into a Pt aluminide coating during chemical vapor deposition (CVD) on single crystal RENE N5 substrates. Standard yttria-stabilized zirconia top coats were subsequently deposited onto the coated substrates by electron beam-physical vapor deposition (EB-PVD). The coated substrates underwent accelerated thermal cycle testing in a furnace at a temperature in excess of 1121 C (2050 F) (45 minute hot exposure, 15 minute cool to approximately 121 C (250 F)) until the thermal barrier coating (TBC) failed by spallation. Incorporating Hf in the bond coat increased the TBC life by slightly more than three times that of a baseline coating without added Hf. Scanning electron microscopy of the spalled surfaces indicated that the presence of the Hf increased the adherence of the thermally grown alumina to the Pt aluminide bond coat. The presence of oxide pegs growing into the coating from the thermally grown alumina may also partially account for the improved TBC life by creating a near-surface layer with a graded coefficient of thermal expansion.

  10. Strong Metal Support Interaction of Pt and Ru Nanoparticles Deposited on HOPG Probed by the H-D Exchange Reaction

    DEFF Research Database (Denmark)

    Fiordaliso, Elisabetta M.; Dahl, Søren; Chorkendorff, Ib

    2012-01-01

    The interaction between metals and support is investigated in the case of 50 Å Pt and 50 Å Ru films deposited on a HOPG substrate. The films are prepared by electron beam physical vapor deposition and annealed in UHV to temperatures up to 700 °C. The equilibrium hydrogen exchange rate between...... adsorbed and gas phase at 1 bar is measured before and after annealing. The rate is measured in the temperature range of 40–200 °C at 1 bar, by utilization of the H-D exchange reaction. Experiments are performed on fresh cleaved and sputtered HOPG, which give similar results. We find that annealing...... the films from 150 up to 700 °C increases the amount of carbon present in the films up to 95%, as derived by surface analysis, indicating the formation of a carbon layer on top of the metal films. The exchange rate decreases dramatically with increasing carbon content on the films for both metals, pointing...

  11. Sputter deposition on gas diffusion electrodes of Pt-Au nanoclusters for methanol oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Giorgi, L.; Giorgi, R.; Gagliardi, S.; Serra, E. [ENEA Casaccia Research Center, Rome (Italy). Physics Technologies and New Materials; Alvisi, M.; Signore, M.A. [ENEA Brindisi Research Center, Brindisi (Italy). Physics Technologies and New Materials

    2008-07-01

    Polymer electrolyte fuel cells (PEFCs) are suited for use in commercial electrical vehicle and electric power applications. The gas diffusion electrodes of PEFCs are catalyzed by the deposition of platinum (Pt) nanoparticles on carbon powder. The particles must be localized on the electrode surface in order to achieve high electrocatalyst utilization. This study discussed a method of preparing PEFC electrodes using sputter deposition of a Pt-gold (Au) alloy nanoparticles on carbon powders. The method was designed to improve electrode performance and catalyst utilization. The nano-sized alloy clusters were deposited on a gas diffusion electrode at room temperature. The deposits were then characterized using field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS) in order to examine the effect of the deposition technique on the nano-morphology and electrocatalytic performance of the electrode. Results of the study showed that the technique can be used in the large-scale manufacture of fuel cell electrodes. 3 refs., 1 fig.

  12. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  13. Surface science approach to Pt/carbon model catalysts: XPS, STM and microreactor studies

    Science.gov (United States)

    Motin, Abdul Md.; Haunold, Thomas; Bukhtiyarov, Andrey V.; Bera, Abhijit; Rameshan, Christoph; Rupprechter, Günther

    2018-05-01

    Pt nanoparticles supported on carbon are an important technological catalyst. A corresponding model catalyst was prepared by physical vapor deposition (PVD) of Pt on sputtered HOPG (highly oriented pyrolytic graphite). The carbon substrate before and after sputtering as well as the Pt/HOPG system before and after Pt deposition and annealing were examined by XPS and STM. This yielded information on the surface density of defects, which serve as nucleation centres for Pt, and on the size distribution (mean size/height) of the Pt nanoparticles. Two different model catalysts were prepared with mean sizes of 2.0 and 3.6 nm, both turned out to be stable upon UHV-annealing to 300 °C. After transfer into a UHV-compatible flow microreactor and subsequent cleaning in UHV and under mbar pressure, the catalytic activity of the Pt/HOPG model system for ethylene hydrogenation was examined under atmospheric pressure flow conditions. This enabled to determine temperature-dependent conversion rates, turnover frequencies (TOFs) and activation energies. The catalytic results obtained are in line with the characteristics of technological Pt/C, demonstrating the validity of the current surface science based model catalyst approach.

  14. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  15. H2 splitting on Pt, Ru and Rh nanoparticles supported on sputtered HOPG

    DEFF Research Database (Denmark)

    Fiordaliso, Elisabetta Maria; Murphy, Shane; Nielsen, R.M.

    2012-01-01

    The equilibrium hydrogen exchange rate between adsorbed and gas phase hydrogen at 1bar is measured for Pt, Ru and Rh nanoparticles supported on a sputtered HOPG substrate. The particles are prepared by Electron Beam Physical Vapor Deposition and the diameter of the particles varies between 2 and ...

  16. Atomic Layer Deposition of Pt Nanoparticles within the Cages of MIL-101: A Mild and Recyclable Hydrogenation Catalyst

    Directory of Open Access Journals (Sweden)

    Karen Leus

    2016-03-01

    Full Text Available We present the in situ synthesis of Pt nanoparticles within MIL-101-Cr (MIL = Materials Institute Lavoisier by means of atomic layer deposition (ALD. The obtained Pt@MIL-101 materials were characterized by means of N2 adsorption and X-ray powder diffraction (XRPD measurements, showing that the structure of the metal organic framework was well preserved during the ALD deposition. X-ray fluorescence (XRF and transmission electron microscopy (TEM analysis confirmed the deposition of highly dispersed Pt nanoparticles with sizes determined by the MIL-101-Cr pore sizes and with an increased Pt loading for an increasing number of ALD cycles. The Pt@MIL-101 material was examined as catalyst in the hydrogenation of different linear and cyclic olefins at room temperature, showing full conversion for each substrate. Moreover, even under solvent free conditions, full conversion of the substrate was observed. A high concentration test has been performed showing that the Pt@MIL-101 is stable for a long reaction time without loss of activity, crystallinity and with very low Pt leaching.

  17. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  18. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  19. The Electrochemical Atomic Layer Deposition of Pt and Pd nanoparticles on Ni foam for the electrooxidation of alcohols

    CSIR Research Space (South Africa)

    Modibedi, RM

    2012-10-01

    Full Text Available Electrodeposition of Pt and Pd metal by surface limited redox replacement reactions was performed using the electrochemical atomic layer deposition. Carbon paper and Ni foam were used as substrates for metal deposition. Supported Pt and Pd...

  20. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  1. Tuning the Composition and Nanostructure of Pt/Ir Films via Anodized Aluminum Oxide Templated Atomic Layer Deposition

    Science.gov (United States)

    2010-01-01

    12 ] to dictate fi lm morphology. Such templated deposition is typically con- ducted by either electrodeposition or elec- troless deposition, with...non-enzymatic glucose sensing. [ 34–36 ] In particular, the syn- thesis of such nanostructured fi lms is delineated with a focus on the precise...deposited using alternating exposures to trimethylaluminum and H 2 O to provide a uniform nucleation layer for Pt and Ir fi lms. Nanostructured Pt fi

  2. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  3. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  4. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  5. Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity.

    Science.gov (United States)

    Liao, Shih-Yun; Yang, Ya-Chu; Huang, Sheng-Hsin; Gan, Jon-Yiew

    2017-04-29

    Pt@TiO2@CNTs hierarchical structures were prepared by first functionalizing carbon nanotubes (CNTs) with nitric acid at 140 °C. Coating of TiO2 particles on the CNTs at 300 °C was then conducted by atomic layer deposition (ALD). After the TiO2@CNTs structure was fabricated, Pt particles were deposited on the TiO2 surface as co-catalyst by plasma-enhanced ALD. The saturated deposition rates of TiO2 on a-CNTs were 1.5 Å/cycle and 0.4 Å/cycle for substrate-enhanced process and linear process, respectively. The saturated deposition rate of Pt on TiO2 was 0.39 Å/cycle. The photocatalytic activities of Pt@TiO2@CNTs hierarchical structures were higher than those without Pt co-catalyst. The particle size of Pt on TiO2@CNTs was a key factor to determine the efficiency of methylene blue (MB) degradation. The Pt@TiO2@CNTs of 2.41 ± 0.27 nm exhibited the best efficiency of MB degradation.

  6. Effects of deposition temperature and in-situ annealing time on structure and magnetic properties of (001) orientation FePt films

    International Nuclear Information System (INIS)

    Yu, Yongsheng; George, T.A.; Li, Haibo; Sun, Daqian; Ren, Zhenan; Sellmyer, D.J.

    2013-01-01

    FePt films were prepared on (100) oriented single crystal MgO substrates at high temperature ranging from 620 until 800 °C and in-situ annealed for different times ranging from 0 to 60 min to obtain ordered FePt films. The structural analysis indicates that FePt films grow epitaxially on MgO (100) substrates. Both increasing deposition temperature and in-situ annealing time enhance the (001) texture and ordering of FePt films. The magnetic analysis shows that these L1 0 FePt films have perpendicular anisotropy and the easy magnetization c-axis is perpendicular to the film plane. Magnetization reversal is controlled by a rotational mechanism. The hard magnetic properties of the films are improved with increasing deposition temperature or in-situ annealing time. - Highlights: ► The paper reports the texture and magnetic evolution of FePt films deposited on MgO substrates. ► Increasing deposition temperature or annealing time enhanced the texture and ordering. ► The magnetic analysis shows L1 0 FePt films have perpendicular anisotropy.

  7. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  8. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  9. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  10. Oxygen Reduction Reaction on Pt Overlayers Deposited onto a Gold Film: Ligand, Strain, and Ensemble Effect

    DEFF Research Database (Denmark)

    Deng, Yu-Jia; Tripkovic, Vladimir; Rossmeisl, Jan

    2016-01-01

    We study the oxygen reduction reaction (ORR), the catalytic process occurring at the cathode in fuel cells, on Pt layers prepared by electrodeposition onto an Au substrate. Using a nominal Pt layer by layer deposition method previously proposed, imperfect layers of Pt on Au are obtained. The ORR...

  11. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  12. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  13. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  14. Low resistivity Pt interconnects developed by electron beam assisted deposition using novel gas injector system

    International Nuclear Information System (INIS)

    Dias, R J; Romano-Rodriguez, A; O'Regan, C; Holmes, J D; Petkov, N; Thrompenaars, P; Mulder, J J L

    2012-01-01

    Electron beam-induced deposition (EBID) is a direct write process where an electron beam locally decomposes a precursor gas leaving behind non-volatile deposits. It is a fast and relatively in-expensive method designed to develop conductive (metal) or isolating (oxide) nanostructures. Unfortunately the EBID process results in deposition of metal nanostructures with relatively high resistivity because the gas precursors employed are hydrocarbon based. We have developed deposition protocols using novel gas-injector system (GIS) with a carbon free Pt precursor. Interconnect type structures were deposited on preformed metal architectures. The obtained structures were analysed by cross-sectional TEM and their electrical properties were analysed ex-situ using four point probe electrical tests. The results suggest that both the structural and electrical characteristics differ significantly from those of Pt interconnects deposited by conventional hydrocarbon based precursors, and show great promise for the development of low resistivity electrical contacts.

  15. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  16. Enhancement of the electrooxidation of ethanol on Pt-Sn-P/C catalysts prepared by chemical deposition process

    Science.gov (United States)

    Xue, Xinzhong; Ge, Junjie; Tian, Tian; Liu, Changpeng; Xing, Wei; Lu, Tianhong

    In this paper, five Pt 3Sn 1/C catalysts have been prepared using three different methods. It was found that phosphorus deposited on the surface of carbon with Pt and Sn when sodium hypophosphite was used as reducing agent by optimization of synthetic conditions such as pH in the synthetic solution and temperature. The deposition of phosphorus should be effective on the size reduction and markedly reduces PtSn nanoparticle size, and raise electrochemical active surface (EAS) area of catalyst and improve the catalytic performance. TEM images show PtSnP nanoparticles are highly dispersed on the carbon surface with average diameters of 2 nm. The optimum composition is Pt 3Sn 1P 2/C (note PtSn/C-3) catalyst in my work. With this composition, it shows very high activity for the electrooxidation of ethanol and exhibit enhanced performance compared with other two Pt 3Sn 1/C catalysts that prepared using ethylene glycol reduction method (note PtSn/C-EG) and borohydride reduction method (note PtSn/-B). The maximum power densities of direct ethanol fuel cell (DEFC) were 61 mW cm -2 that is 150 and 170% higher than that of the PtSn/C-EG and PtSn/C-B catalyst.

  17. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  18. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  19. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  20. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  1. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  2. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  3. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  4. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  5. Controllable pt nanoparticle deposition on carbon nanotubes as an anode catalyst for direct methanol fuel cells.

    Science.gov (United States)

    Mu, Yongyan; Liang, Hanpu; Hu, Jinsong; Jiang, Li; Wan, Lijun

    2005-12-01

    We report a novel process to prepare well-dispersed Pt nanoparticles on CNTs. Pt nanoparticles, which were modified by the organic molecule triphenylphosphine, were deposited on multiwalled carbon nanotubes by the organic molecule, which acts as a cross linker. By manipulating the relative ratio of Pt nanoparticles and multiwalled carbon nanotubes in solution, Pt/CNT composites with different Pt content were achieved. The so-prepared Pt/CNT composite materials show higher electrocatalytic activity and better tolerance to poisoning species in methanol oxidation than the commercial E-TEK catalyst, which can be ascribed to the high dispersion of Pt nanoparticles on the multiwalled carbon nanotube surface.

  6. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  7. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  8. Enhancement of the electrooxidation of ethanol on Pt-Sn-P/C catalysts prepared by chemical deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Xinzhong; Ge, Junjie; Tian, Tian [Changchun Institute of Applied Chemistry, Chinese Academy of Science, Changchun 130022 (China); Graduate University of the Chinese Academy of Sciences, Beijing 100049 (China); Liu, Changpeng; Xing, Wei; Lu, Tianhong [Changchun Institute of Applied Chemistry, Chinese Academy of Science, Changchun 130022 (China)

    2007-10-25

    In this paper, five Pt{sub 3}Sn{sub 1}/C catalysts have been prepared using three different methods. It was found that phosphorus deposited on the surface of carbon with Pt and Sn when sodium hypophosphite was used as reducing agent by optimization of synthetic conditions such as pH in the synthetic solution and temperature. The deposition of phosphorus should be effective on the size reduction and markedly reduces PtSn nanoparticle size, and raise electrochemical active surface (EAS) area of catalyst and improve the catalytic performance. TEM images show PtSnP nanoparticles are highly dispersed on the carbon surface with average diameters of 2 nm. The optimum composition is Pt{sub 3}Sn{sub 1}P{sub 2}/C (note PtSn/C-3) catalyst in my work. With this composition, it shows very high activity for the electrooxidation of ethanol and exhibit enhanced performance compared with other two Pt{sub 3}Sn{sub 1}/C catalysts that prepared using ethylene glycol reduction method (note PtSn/C-EG) and borohydride reduction method (note PtSn/-B). The maximum power densities of direct ethanol fuel cell (DEFC) were 61 mW cm{sup -2} that is 150 and 170% higher than that of the PtSn/C-EG and PtSn/C-B catalyst. (author)

  9. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  10. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  11. Postannealing Effect at Various Gas Ambients on Ohmic Contacts of Pt/ZnO Nanobilayers toward Ultraviolet Photodetectors

    Directory of Open Access Journals (Sweden)

    Chung-Hua Chao

    2013-01-01

    Full Text Available This paper describes a fabrication and characterization of ultraviolet (UV photodetectors based on Ohmic contacts using Pt electrode onto the epitaxial ZnO (0002 thin film. Plasma enhanced chemical vapor deposition (PECVD system was employed to deposit ZnO (0002 thin films onto silicon substrates, and radio-frequency (RF magnetron sputtering was used to deposit Pt top electrode onto the ZnO thin films. The as-deposited Pt/ZnO nanobilayer samples were then annealed at 450∘C in two different ambients (argon and nitrogen to obtain optimal Ohmic contacts. The crystal structure, surface morphology, optical properties, and wettability of ZnO thin films were analyzed by X-ray diffraction (XRD, field emission scanning electron microscopy (FE-SEM, atomic force microscopy (AFM, photoluminescence (PL, UV-Vis-NIR spectrophotometer, and contact angle meter, respectively. Moreover, the photoconductivity of the Pt/ZnO nanobilayers was also investigated for UV photodetector application. The above results showed that the optimum ZnO sample was synthesized with gas flow rate ratio of 1 : 3 diethylzinc [DEZn, Zn(C2H52] to carbon dioxide (CO2 and then combined with Pt electrode annealed at 450∘C in argon ambient, exhibiting good crystallinity as well as UV photo responsibility.

  12. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  13. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  14. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  15. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  16. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  18. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  19. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  20. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  1. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  2. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  3. High performance polymer electrolyte fuel cells with ultra-low Pt loading electrodes prepared by dual ion-beam assisted deposition

    International Nuclear Information System (INIS)

    Saha, Madhu Sudan; Gulla, Andrea F.; Allen, Robert J.; Mukerjee, Sanjeev

    2006-01-01

    Ultra-low pure Pt-based electrodes (0.04-0.12 mg Pt /cm 2 ) were prepared by dual ion-beam assisted deposition (dual IBAD) method on the surface of a non-catalyzed gas diffusion layer (GDL) substrate. Film thicknesses ranged between 250 and 750 A, these are compared with a control, a conventional Pt/C (1.0 mg Pt(MEA) /cm 2 , E-TEK). The IBAD electrode constituted a significantly different morphology, where low density Pt deposits (largely amorphous) were formed with varying depths of penetration into the gas diffusion layer, exhibiting a gradual change towards increasing crystalline character (from 250 to 750 A). Mass specific power density of 0.297 g Pt /kW is reported with 250 A IBAD deposit (0.04 mg Pt /cm 2 for a total MEA loading of 0.08 mg Pt /cm 2 ) at 0.65 V. This is contrasted with the commercial MEA with a loading of 1 mg Pt(MEA) /cm 2 where mass specific power density obtained was 1.18 g Pt /kW (at 0.65 V), a value typical of current state of the art commercial electrodes containing Pt/C. The principal shortcoming in this effort is the area specific power density which was in the range of 0.27-0.43 W/cm 2 (for 250-750 A IBAD) at 0.65 V, hence much below the automotive target value of 0.8-0.9 W/cm 2 (at 0.65 V). An attempt to mitigate these losses is reported with the use of patterning. In this context a series of patterns ranging from 45 to 80% Pt coverage were used in conjunction with a hexagonal hole geometry. Up to 30% lowering of mass transport losses were realized

  4. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  5. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  6. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  7. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  8. Highly porous ZnS microspheres for superior photoactivity after Au and Pt deposition and thermal treatment

    Energy Technology Data Exchange (ETDEWEB)

    Singla, Shilpa; Pal, Bonamali, E-mail: bpal@thapar.edu

    2013-11-15

    Graphical abstract: Highly porous ZnS microsphere of size 2–5 μm having large surface area ca. 173.14 m{sup 2} g{sup −1} exhibits superior photocatalytic activity for the oxidation of 4-nitrophenol under UV light irradiation. The rate of photooxidation has been significantly improved by Au and Pt deposition and after sintering, respectively, due to rapid electron acceptance by metal from photoexcited ZnS and growth of crystalline ZnS phase. - Highlights: • Photoactive ZnS microsphere of size 2–5 μm was prepared by hydrothermal route. • Highly porous cubic spherical ZnS crystals possess a large surface area, 173 m{sup 2} g{sup −1}. • 1 wt% Au and Pt photodeposition highly quenched the photoluminescence at 437 nm. • Sintering and metal loading notably improve the photooxidation rate of 4-nitrophenol. • Pt co-catalyst always exhibits superior photoactivity of ZnS microsphere than Au. - Abstract: This work highlights the enhanced photocatalytic activity of porous ZnS microspheres after Au and Pt deposition and heat treatment at 500 °C for 2 h. Microporous ZnS particles of size 2–5 μm with large surface area 173.14 m{sup 2} g{sup −1} and pore volume 0.0212 cm{sup 3} g{sup −1} were prepared by refluxing under an alkaline medium. Photoluminescence of ZnS at 437 nm attributed to sulfur or zinc vacancies were quenched to 30% and 49%, respectively, after 1 wt% Au and Pt loading. SEM images revealed that each ZnS microparticle consist of several smaller ZnS spheres of size 2.13 nm as calculated by Scherrer's equation. The rate of photooxidation of 4-nitrophenol (10 μM) under UV (125 W Hg arc–10.4 mW/cm{sup 2}) irradiation has been significantly improved by Au and Pt deposition followed by sintering due to better electron capturing capacity of deposited metals and growth of crystalline ZnS phase with less surface defects.

  9. Organometallic Pt precursor on graphite substrate: deposition from SC CO2, reduction and morphology transformation as revealed by SFM

    International Nuclear Information System (INIS)

    Elmanovich, Igor V.; Naumkin, Alexander V.; Gallyamov, Marat O.; Khokhlov, Alexei R.

    2012-01-01

    Organometallic Pt precursor was deposited on model highly oriented pyrolytic graphite substrate from solutions in supercritical carbon dioxide. Morphology transformations during reduction process including real-time observations were studied by scanning force microscopy (SFM). We confirmed that SC CO 2 is a promising mediator in deposition process even for rather hydrophobic supports. SFM data show that thermal decomposition of the PtMe 2 (COD) precursor with subsequent hydrogen post-treatment allows one to obtain rather pure and well-defined Pt nanoparticles with average height above a substrate level of 4.5 ± 0.6 nm.

  10. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  11. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  12. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  13. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  14. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  15. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  16. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  17. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  18. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  19. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  20. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  1. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  2. Synthesis and characterization of PtRu/C catalysts obtained by colloidal and deposition methods for fuel cell applications

    Directory of Open Access Journals (Sweden)

    Egberto Gomes Franco

    2005-06-01

    Full Text Available The purpose of this investigation was to compare catalysts produced by the Bönnemann - colloidal method (PtRu (B1 and PtRu (B2, and those produced by the spontaneous deposition method (PtRu (SD. The catalysts produced by both methods had good electrochemical behavior for methanol oxidation for proton exchange membrane fuel cell applications. The structure of the catalyst was examined by transmission electron microscopy (TEM. Energy dispersive spectroscopic analysis (EDS was used to determine the semi-quantitative composition of the catalysts, and the electrochemical behavior was determined by cyclic voltammetry (CV. The diffractograms of the binary catalysts revealed platinum and ruthenium as the only crystalline phases, as per ICDD data base. The PtRu (B1 catalyst, treated in a reducing atmosphere, has the same structure as PtRu (B2, treated in an oxidising/reducing atmosphere, except that the crystallite size was around 1.7 nm for PtRu (B1 instead of 9.9 nm for PtRu (B2. The catalysts PtRu (B2 and PtRu (SD showed similar cyclic voltammetric behavior, which was better than that of PtRu (B1. Both methods are suitable for the production of electrocatalysts for fuel cell applications. The colloidal method is more expensive than the deposition method, but the former permits the production of ternary and quaternary catalyst systems with enhanced CO tolerance.

  3. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  4. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  5. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  6. Facing-target sputtering deposition of ZnO films with Pt ultra-thin layers for gas-phase photocatalytic application

    International Nuclear Information System (INIS)

    Zhang Zhonghai; Hossain, Md. Faruk.; Arakawa, Takuya; Takahashi, Takakazu

    2010-01-01

    In this paper, various zinc oxide (ZnO) films are deposited by a versatile and effective dc-reactive facing-target sputtering method. The ratios of Ar to O 2 in the mixture gas are varied from 8:2 to 6:4 at a fixed sputtering pressure of 1.0 Pa. X-ray diffraction, spectrophotometer and scanning electron microscope are used to study the crystal structure, optical property and surface morphology of the as-deposited films. The Pt ultra-thin layer, ∼2 nm thick, is deposited on the surface of ZnO film by dc diode sputtering with a mesh mask controlling the coated area. The photocatalytic activity of ZnO films and Pt-ZnO films is evaluated by decomposition of methanol under UV-vis light irradiation. The variation of photocatalytic activity depends on the ratios of Ar to O 2 , which is mainly attributed to the different grain size and carrier mobility. Though the pure ZnO film normally shows a low gas-phase photocatalytic activity, its activity is significantly enhanced by depositing Pt ultra-thin layer.

  7. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  8. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  9. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  10. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  11. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  12. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  13. Synthesis of Pt{sub 75}Sn{sub 25}/SnO{sub 2}/CNT nanoscaled electrode: Low onset potential of ethanol electrooxidation

    Energy Technology Data Exchange (ETDEWEB)

    Tabet-Aoul, Amel [Institut National de la Recherche Scientifique (INRS)-Énergie, Matériaux et Télécommunications (EMT), 1650 Boulevard Lionel Boulet, Varennes, Québec, Canada J3X 1S2 (Canada); Mohamedi, Mohamed, E-mail: mohamedi@emt.inrs.ca [Institut National de la Recherche Scientifique (INRS)-Énergie, Matériaux et Télécommunications (EMT), 1650 Boulevard Lionel Boulet, Varennes, Québec, Canada J3X 1S2 (Canada)

    2013-03-15

    Highlights: ► A pulsed laser synthesis is used for the deposition of Pt, SnO{sub 2} and PtSn alloy thin films onto carbon nanotubes. ► These nanoscaled materials were characterized by FESEM, TEM, XRD and XPS. ► Enhanced electrocatalytic properties toward ethanol oxidation. -- Abstract: With the objective of lowering the potential oxidation of ethanol at PtSn nanocatalyst, we present the synthesis of free-standing catalyst layer comprising a current collector/carbon nanotubes (catalyst support)/SnO{sub 2}/Pt{sub 75}Sn{sub 25} (catalyst) nanostructured layers, each layer constructed upon the one below it. The CNTs are grown by chemical vapor deposition (CVD), whereas SnO{sub 2} and Pt{sub 75}Sn{sub 25} are synthesized by pulsed laser deposition and cross-beam laser deposition, respectively. FESEM revealed that Pt{sub 75}Sn{sub 25} nanoparticles assemble into cauliflower-like arrangement. TEM and HR-TEM showed that the Pt{sub 75}Sn{sub 25} layer thickness is of ca. 25 nm with a particle mean diameter of 4.3 nm. It was found that addition of SnO{sub 2} to Pt{sub 75}Sn{sub 25} promotes significantly the oxidation of ethanol at Pt{sub 75}Sn{sub 25} nanoparticles relative to a carbon nanotubes support. Indeed, the electrooxidation of ethanol at CNTs/SnO{sub 2}/Pt{sub 75}Sn{sub 25} electrode starts at about 100 mV negative with respect to that at CNT/Pt{sub 75}Sn{sub 25}. This decreased overpotential required to oxidize ethanol is very significant and has profound implications to developing high performing anodes for direct ethanol fuel cells technology.

  14. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  15. Electrochemical atomic layer deposition of Pt nanostructures on carbon paper and Ni foam; poster

    CSIR Research Space (South Africa)

    Louw, EK

    2012-07-01

    Full Text Available characteristic of polycrystalline Pt electrodes. ECALD produced good quality deposits that uniformly covered the carbon paper support. The advantages of preparing nanoparticles with this method include ease, flexibility and cost effectiveness. This could provide...

  16. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  17. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  18. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  19. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  20. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  1. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  2. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  3. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  4. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  5. Boosting the performance of Pt electro-catalysts toward formic acid electro-oxidation by depositing sub-monolayer Au clusters

    International Nuclear Information System (INIS)

    Bi Xuanxuan; Wang Rongyue; Ding Yi

    2011-01-01

    Highlights: → Au decoration on Pt nanoparticles simultaneously increases the activity and stability. → Sub-monolayer Au decoration changes the reaction path and results in the activity improvement. → Increasing the Au coverage will increase the specific activity. → Proper Au coverage results in a maximum mass specific activity. - Abstract: CO poisoning is the main obstacle to the application of Pt nanoparticles as anode catalysts in direct formic acid fuel cells (DFAFCs). Significant types of Pt alloys have been investigated, which often demonstrate evidently improved catalytic performance governed by difference mechanisms. By using a well-known electrochemical technique of under potential deposition and in situ redox replacement, sub-monolayer Au clusters are deposited onto Pt nanoparticle surfaces in a highly controlled manner, generating a unique surface alloy structure. Under optimum conditions, the modified Pt nanoparticles can exhibit greatly enhanced specific activity (up to 23-fold increase) at potential of -0.2 V vs. MSE toward formic acid electro-oxidation (FAEO). Interestingly, the mass specific activity can also be improved by a factor of 2.3 at potential of -0.35 V vs. MSE although significant amount of surface Pt atoms are covered by the overlayer Au clusters. The much enhanced catalytic activity can be ascribed to a Pt surface ensemble effect, which induces change of the reaction path. Moreover, the sub-monolayer Au coating on the surface also contributes to the enhanced catalyst durability by inhibiting the Pt oxidation. These results show great potential to rationally design more active and stable nanocatalysts by modifying the Pt surface with otherwise inactive materials.

  6. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  7. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  10. Preparation of Pt deposited nanotubular TiO2 as cathodes for enhanced photoelectrochemical hydrogen production using seawater electrolytes

    International Nuclear Information System (INIS)

    Nam, Wonsik; Oh, Seichang; Joo, Hyunku; Yoon, Jaekyung

    2011-01-01

    The purpose of this study was to develop effective cathodes to increase the production of hydrogen and use the seawater, an abundant resource in the earth as the electrolyte in photoelectrochemical systems. In order to fabricate the Pt/TiO 2 cathodes, various contents of the Pt precursor (0-0.4 wt%) deposited by the electrodeposition method were used. On the basis of the hydrogen evolution rate, 0.2 wt% Pt/TiO 2 was observed to exhibit the best performance among the various Pt/TiO 2 cathodes with the natural seawater and two concentrated seawater electrolytes obtained from single (nanofiltration) and combined membrane (nanofiltration and reverse osmosis) processes. The surface characterizations exhibited that crystal structures and morphological properties of Pt and TiO 2 found the results of XRD pattern and SEM/TEM images, respectively. - Graphical abstract: On the basis of photoelectrochemical hydrogen production, 0.2 wt% Pt/TiO 2 was observed to exhibit the best performance among the various Pt/TIO 2 cathodes with natural seawater. In comparison of hydrogen evolution rate with various seawater electrolytes, 0.2 wt% Pt/TiO 2 was found to show the better performance as cathode with the concentrated seawater electrolytes obtained from membrane. Highlights: → Pt deposited TiO 2 electrodes are used as cathode in PEC H 2 production. → Natural and concentrated seawater by membranes are used as electrolytes in PEC. → Pt/TiO 2 shows a good performance as cathode with seawater electrolytes. → H 2 evolution rate increases with more concentrated seawater electrolyte. → Highly saline seawater is useful resource for H 2 production.

  11. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  12. Elimination of impurity phase formation in FePt magnetic thin films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wang, Ying; Medwal, Rohit; Sehdev, Neeru; Yadian, Boluo; Tan, T.L.; Lee, P.; Talebitaher, A.; Ilyas, Usman; Ramanujan, R.V.; Huang, Yizhong; Rawat, R.S.

    2014-01-01

    The formation of impurity phases in FePt thin films severely degrades its magnetic properties. The X-ray diffraction patterns of FePt thin films, synthesized using pulsed laser deposition (PLD), showed peaks corresponding to impurity phases, resulting in softer magnetic properties. A systematic investigation was carried to determine the factors that might have led to impurity phase formation. The factors include (i) PLD target composition, (ii) substrate material, (iii) annealing parameters such as temperature, duration and ambience and (iv) PLD deposition parameters such as chamber ambience, laser energy fluence and target–substrate distance. Depositions on the different substrates revealed impurity phase formation only on Si substrates. It was found that the target composition, PLD chamber ambience, and annealing ambience were not the factors that caused the impurity phase formation. The annealing temperature and duration influenced the impurity phases, but are not the cause of their formation. A decrease in the laser energy fluence and increase of the target–substrate distance resulted in elimination of the impurity phases and enhancement in the magnetic and structural properties of FePt thin films. The energy of the ablated plasma species, controlled by the laser energy fluence and the target–substrate distance, is found to be the main factor responsible for the formation of the impurity phases.

  13. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  14. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  15. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  16. Electrochemical and structural characterization of carbon-supported Pt-Pd bimetallic electrocatalysts prepared by electroless deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ohashi, Masato; Beard, Kevin D.; Ma Shuguo; Blom, Douglas A.; St-Pierre, Jean; Van Zee, John W. [Department of Chemical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Monnier, John R., E-mail: monnier@cec.sc.ed [Department of Chemical Engineering, University of South Carolina, Columbia, SC 29208 (United States)

    2010-10-01

    Electrochemical and structural characteristics of various Pt-Pd/C bimetallic catalysts prepared by electroless deposition (ED) methods have been investigated. Structural analysis was conducted by X-ray diffraction spectroscopy, X-ray photoelectron spectroscopy, scanning transmission electron microscopy, and energy dispersive X-ray spectroscopy (EDS). Monometallic Pt or Pd particles were not detected by EDS, indicating the ED methodology formed only bimetallic particles. The size of the Pt-Pd bimetallic particles was smaller than those of a commercially available Pt/C catalyst. The morphology of the Pt on Pd/C catalysts was identified and corresponded to Pd particles partially encapsulated by Pt. The electrochemical characteristics of the lowest Pd loading catalyst (7.0% Pt on 0.5% Pd/C) for the oxygen reduction reaction (ORR) have been investigated by the rotating ring disk electrode technique. The electrochemical activity was equal or lower than the commercially available Pt/C catalyst; however, the amount of hydrogen peroxide observed at the ring was reduced by the Pd, suggesting that such a catalyst has the potential to decrease ionomer degradation in applications. The Pt on Pd/C catalysts also show a higher tolerance to ripening induced by potential cycling. Therefore, catalyst suitability cannot be judged solely by its initial performance; information related to specific degradation mechanisms is also needed for a more complete assessment.

  17. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  18. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  19. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  20. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  1. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  2. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  3. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  4. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  5. Electrooxidation of C{sub 1} to C{sub 3} alcohols with Pt and Pt-Ru sputter deposited interdigitated array electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Choong-Gon [Department of Chemical Engineering, Faculty of Engineering, Hanbat National University, San 16-1, Dukmyeong-dong, Yuseong-gu, Daejeon 305-719 (Korea, Republic of)], E-mail: leecg@hanbat.ac.kr; Ojima, Hiroyuki [Department of Applied Chemistry, Graduate School of Engineering, Tohoku University, Aramaki-Aoba 07, Aoba-ku, Sendai 980-8579 (Japan); Umeda, Minoru [Department of Materials Science and Technology, Faculty of Engineering, Nagaoka University of Technology, Kamitomioka 1603-1, Nagaoka, Niigata 940-2188 (Japan)], E-mail: mumeda@vos.nagaokaut.ac.jp

    2008-02-25

    The electrooxidation of methanol, ethanol, and 2-propanol was investigated with interdigitated array electrodes (IDAEs). The IDAE oxidizes alcohol at the generator and reduces the reaction intermediates produced by the oxidation process at the collector. Thus, the reaction intermediates can be estimated with the IDAE. The IDAE in the present work was made of sputter deposited Pt and Pt-Ru. The use of Ru free and added electrodes provides information on the effect of Ru addition on the alcohol oxidation. Cyclic voltammetric analyses revealed that Ru addition enhances the oxidation currents and reduces the E{sub onset} of the alcohols. The detectable reaction intermediate at the methanol and ethanol oxidation was proton, while the intermediate species was acetone in 2-propnaol oxidation.

  6. PT AND PT/NI "NEEDLE" ELETROCATALYSTS ON CARBON NANOTUBES WITH HIGH ACTIVITY FOR THE ORR

    Energy Technology Data Exchange (ETDEWEB)

    Colon-Mercado, H.

    2011-11-10

    Platinum and platinum/nickel alloy electrocatalysts supported on graphitized (gCNT) or nitrogen doped carbon nanotubes (nCNT) are prepared and characterized. Pt deposition onto carbon nanotubes results in Pt 'needle' formations that are 3.5 nm in diameter and {approx}100 nm in length. Subsequent Ni deposition and heat treatment results in PtNi 'needles' with an increased diameter. All Pt and Pt/Ni materials were tested as electrocatalysts for the oxygen reduction reaction (ORR). The Pt and Pt/Ni catalysts showed excellent performance for the ORR, with the heat treated PtNi/gCNT (1.06 mA/cm{sup 2}) and PtNi/nCNT (0.664 mA/cm{sup 2}) showing the highest activity.

  7. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  8. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  9. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  10. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  11. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  12. A highly order-structured membrane electrode assembly with vertically aligned carbon nanotubes for ultra-low Pt loading PEM fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Zhi Qun; Lim, San Hua; Poh, Chee Kok; Lin, Jianyi [Institute of Chemical and Engineering Sciences, 1 Pesek Road, Jurong Island, Singapore 627833 (Singapore); Tang, Zhe; Chua, Daniel [Department of Materials Science and Engineering, National University of Singapore, Singapore 117542 (Singapore); Xia, Zetao [Institute of Materials Research and Engineering, 3 Research Link, Singapore 117602 (Singapore); Luo, Zhiqiang; Shen, Zexiang [Division of Physics and Applied Physics, School of Physical and Mathematical Sciences, Nanyang Technological University, 637371 Singapore (Singapore); Shen, Pei Kang [State Key Laboratory of Optoelectronic Materials and Technologies, and Key Laboratory of Low-carbon Chemistry and Energy Conservation of Guangdong Province, School of Physics and Engineering, Sun Yat-sen University, Guangzhou, 510275 (China); Feng, Yuan Ping [Department of Physics, National University of Singapore, Singapore 117542 (Singapore)

    2011-11-15

    A simple method was developed to prepare ultra-low Pt loading membrane electrode assembly (MEA) using vertically aligned carbon nanotubes (VACNTs) as highly ordered catalyst support for PEM fuel cells application. In the method, VACNTs were directly grown on the cheap household aluminum foil by plasma enhanced chemical vapor deposition (PECVD), using Fe/Co bimetallic catalyst. By depositing a Pt thin layer on VACNTs/Al and subsequent hot pressing, Pt/VACNTs can be 100% transferred from Al foil onto polymer electrolyte membrane for the fabrication of MEA. The whole transfer process does not need any chemical removal and destroy membrane. The PEM fuel cell with the MEA fabricated using this method showed an excellent performance with ultra-low Pt loading down to 35 {mu}g cm{sup -2} which was comparable to that of the commercial Pt catalyst on carbon powder with 400 {mu}g cm{sup -2}. To the best of our knowledge, for the first time, we identified that it is possible to substantially reduce the Pt loading one order by application of order-structured electrode based on VACNTs as Pt catalysts support, compared with the traditional random electrode at a comparable performance through experimental and mathematical methods. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  14. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  15. Electrocatalytic oxidation of methanol on (Pb) lead modified by Pt, Pt-Ru and Pt-Sn microparticles dispersed into poly(o-phenylenediamine) film

    Energy Technology Data Exchange (ETDEWEB)

    Golikand, Ahmad Nozad; Maragheh, Mohammad Ghannadi; Irannejad, Leila [Jaber Ibn Hayan Research Lab., Atomic Energy Organization of Iran (AEOI), Tehran (Iran); Golabi, Seyed Mehdi [Electroanalytical Chemistry Lab., Faculty of Chemistry, University of Tabriz, Tabriz (Iran)

    2005-08-18

    The electrocatalytic oxidation of methanol at a (Pb) lead electrode modified by Pt, Pt-Ru and Pt-Sn microparticles dispersed into poly(o-phenylenediamine) (PoPD) film has been investigated using cyclic voltammetry as analytical technique and 0.5M sulfuric acid as supporting electrolyte. It has been shown that the presence of PoPD film increases considerably the efficiency of deposited Pt and Pt alloys microparticles toward the electrocatalytic oxidation of methanol. The catalytic activity of Pt particles is further enhanced when Ru and especially Sn, is co-deposited in the polymer film. The effects of various parameters such as concentration of methanol, medium temperature as well as the long term stability of modified electrodes have also been investigated. (author)

  16. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  17. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  18. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  19. Methods to synthesize NiPt bimetallic nanoparticles by a reversed-phase microemulsion, deposition of NiPt bimetallic nanoparticles on a support, and application of the supported catalyst for CO.sub.2 reforming of methane

    KAUST Repository

    Biausque, Gregory; Laveille, Paco; Anjum, Dalaver H.; Caps, Valerie; Basset, Jean-Marie

    2015-01-01

    Methods to synthesize NiPt bimetallic nanoparticles by a reversed-phase microemulsion, deposition of NiPt bimetallic nanoparticles on a support, and application of the supported catalyst for CO.sub.2 reforming of methane

  20. Methods to synthesize NiPt bimetallic nanoparticles by a reversed-phase microemulsion, deposition of NiPt bimetallic nanoparticles on a support, and application of the supported catalyst for CO.sub.2 reforming of methane

    KAUST Repository

    Biausque, Gregory

    2015-04-28

    Methods to synthesize NiPt bimetallic nanoparticles by a reversed-phase microemulsion, deposition of NiPt bimetallic nanoparticles on a support, and application of the supported catalyst for CO.sub.2 reforming of methane

  1. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  2. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  3. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  4. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  5. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  6. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  7. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  8. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  9. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  10. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  11. Metal-insulator transition in Pt-C nanowires grown by focused-ion-beam-induced deposition

    International Nuclear Information System (INIS)

    Fernandez-Pacheco, A.; Ibarra, M. R.; De Teresa, J. M.; Cordoba, R.

    2009-01-01

    We present a study of the transport properties of Pt-C nanowires created by focused-ion-beam (FIB)-induced deposition. By means of the measurement of the resistance while the deposit is being performed, we observe a progressive decrease in the nanowire resistivity with thickness, changing from 10 8 μΩ cm for thickness ∼20 nm to a lowest saturated value of 700 μΩ cm for thickness >150 nm. Spectroscopy analysis indicates that this dependence on thickness is caused by a gradient in the metal-carbon ratio as the deposit is grown. We have fabricated nanowires in different ranges of resistivity and studied their conduction mechanism as a function of temperature. A metal-insulator transition as a function of the nanowire thickness is observed. The results will be discussed in terms of the Mott-Anderson theory for noncrystalline materials. An exponential decrease in the conductance with the electric field is found for the most resistive samples, a phenomenon understood by the theory of hopping in lightly doped semiconductors under strong electric fields. This work explains the important discrepancies found in the literature for Pt-C nanostructures grown by FIB and opens the possibility to tune the transport properties of this material by an appropriate selection of the growth parameters.

  12. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  13. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  14. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  15. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  16. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  17. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  18. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  19. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  20. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  1. Electrocatalytic activity of Pt and PtCo deposited on Ebonex by BH reduction

    International Nuclear Information System (INIS)

    Slavcheva, E.; Nikolova, V.; Petkova, T.; Lefterova, E.; Dragieva, I.; Vitanov, T.; Budevski, E.

    2005-01-01

    The method of borohydride reduction (BH) has been applied to synthesize Pt and PtCo nanoparticles supported on Magneli phase titanium oxides, using Pt and Co ethylenediamine complexes as metal precursors. The phase composition of the synthesized catalysts, their morphology and surface structure were studied by physical methods for bulk and surface analysis, such as electron microprobe analysis (EMPA), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and BET technique. The catalytic activity towards oxygen evolution reaction in alkaline aqueous solution was investigated using the common electrochemical techniques. It was found that PtCo/Ebonex facilitates essentially the oxygen evolution which starts at lower overpotentials and proceeds with higher rate compared to both the supported Pt and unsupported PtCo catalysts. The observed effect is prescribed to metal-metal and metal-support interactions. The Ebonex possesses a good electrical conductivity and corrosion resistance at high anodic potentials and despite its low surface area is considered as a potential catalyst carrier for the oxygen evolution reaction

  2. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  3. Alloy formation and chemisorption at Zn/Pt(111) bimetallic surfaces using alkali ISS, XPD, and TPD.

    Science.gov (United States)

    Ho, Chih-Sung; Martono, Eddie; Banerjee, Santanu; Roszell, John; Vohs, John; Koel, Bruce E

    2013-11-21

    Alloy formation and chemisorption at bimetallic surfaces formed by vapor-depositing Zn on a Pt(111) single crystal were investigated primarily by using X-ray photoelectron diffraction (XPD), X-ray photoelectron spectroscopy (XPS), low-energy alkali ion scattering spectroscopy (ALISS), low electron energy diffraction (LEED), and temperature programmed desorption (TPD). A wide range of conditions were investigated to explore whether deposition and annealing of Zn films could produce well-defined, ordered alloy surfaces, similar to those encountered for Sn/Pt(111) surface alloys. These attempts were unsuccessful, although weak, diffuse (2 × 2) spots were observed under special conditions. The particular PtZn bimetallic alloy created by annealing one monolayer of Zn on Pt(111) at 600 K, which has a Zn composition in the surface layer of about 5 at. %, was investigated in detail by using XPD and ALISS. Only a diffuse (1 × 1) pattern was observed from this surface by LEED, suggesting that no long-range, ordered alloy structure was formed. Zn atoms were substitutionally incorporated into the Pt(111) crystal to form a near-surface alloy in which Zn atoms were found to reside primarily in the topmost and second layers. The alloyed Zn atoms in the topmost layer are coplanar with the Pt atoms in the surface layer, without any "buckling" of Zn, that is, displacement in the vertical direction. This result is expected because of the similar size of Pt and Zn, based on previous studies of bimetallic Pt alloys. Zn atoms desorb upon heating rather than diffusing deep into the bulk of the Pt crystal. Temperature programmed desorption (TPD) measurements show that both CO and NO have lower desorption energies on the PtZn alloy surface compared to that on the clean Pt(111) surface.

  4. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  5. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  6. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  7. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  8. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  9. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  10. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  12. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  13. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  14. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  15. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  16. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  17. Enhanced activity and interfacial durability study of ultra low Pt based electrocatalysts prepared by ion beam assisted deposition (IBAD) method

    International Nuclear Information System (INIS)

    Ramaswamy, N.; Arruda, T.M.; Wen, W.; Hakim, N.; Saha, M.; Gulla, A.; Mukerjee, S.

    2009-01-01

    Ultra low loading noble metal (0.04-0.12 mg Pt /cm 2 ) based electrodes were obtained by direct metallization of non-catalyzed gas diffusion layers via dual ion beam assisted deposition (IBAD) method. Fuel cell performance results reported earlier indicate significant improvements in terms of mass specific power density of 0.297 g Pt /kW with 250 A thick IBAD deposit (0.04 mg Pt /cm 2 for a total MEA loading of 0.08 mg Pt /cm 2 ) at 0.65 V in contrast to the state of the art power density of 1.18 g Pt /kW using 1 mg Pt(MEA) /cm 2 at 0.65 V. In this article we report the peroxide radical initiated attack of the membrane electrode assembly utilizing IBAD electrodes in comparison to commercially available E-TEK (now BASF Fuel Cell GmbH) electrodes and find the pathway of membrane degradation as well. A novel segmented fuel cell is used for this purpose to relate membrane degradation to peroxide generation at the electrode/electrolyte interface by means of systematic pre and post analyses of the membrane are presented. Also, we present the results of in situ X-ray absorption spectroscopy (XAS) experiments to elucidate the structure/property relationships of these electrodes that lead to superior performance in terms of gravimetric power density obtained during fuel cell operation.

  18. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  19. Discussion on numerical simulation techniques for patterns of water vapor rise and droplet deposition at NPP cooling tower

    International Nuclear Information System (INIS)

    Guo Dongpeng; Yao Rentai

    2010-01-01

    Based on the working principle of cooling tower, analysis and comparison are made of both advantages and disadvantages of the numerical simulation models, such as ORFAD, KUMULUS, ISCST:A, ANL/UI, CFD etc., which predict the rise and droplet deposition pattern of cooling tower water vapor. The results showed that, CFD model is currently a better model that is used of three-dimensional Renault fluid flow equations predicting the rise and droplet deposition pattern of cooling tower water vapor. The impact of the line trajectory deviation and the speed change inn plume rising is not considered in any other models, and they can not be used for prediction of particle rise and droplet deposition when a larger particle or large buildings in the direction of cooling tower. (authors)

  20. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  1. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  2. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  3. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  4. Atomic layer deposition of Pd and Pt nanoparticles for catalysis: on the mechanisms of nanoparticle formation

    International Nuclear Information System (INIS)

    Mackus, Adriaan J M; Weber, Matthieu J; Thissen, Nick F W; Garcia-Alonso, Diana; Vervuurt, René H J; Assali, Simone; Bol, Ageeth A; Verheijen, Marcel A; Kessels, Wilhelmus M M

    2016-01-01

    The deposition of Pd and Pt nanoparticles by atomic layer deposition (ALD) has been studied extensively in recent years for the synthesis of nanoparticles for catalysis. For these applications, it is essential to synthesize nanoparticles with well-defined sizes and a high density on large-surface-area supports. Although the potential of ALD for synthesizing active nanocatalysts for various chemical reactions has been demonstrated, insight into how to control the nanoparticle properties (i.e. size, composition) by choosing suitable processing conditions is lacking. Furthermore, there is little understanding of the reaction mechanisms during the nucleation stage of metal ALD. In this work, nanoparticles synthesized with four different ALD processes (two for Pd and two for Pt) were extensively studied by transmission electron spectroscopy. Using these datasets as a starting point, the growth characteristics and reaction mechanisms of Pd and Pt ALD relevant for the synthesis of nanoparticles are discussed. The results reveal that ALD allows for the preparation of particles with control of the particle size, although it is also shown that the particle size distribution is strongly dependent on the processing conditions. Moreover, this paper discusses the opportunities and limitations of the use of ALD in the synthesis of nanocatalysts. (paper)

  5. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  6. Enhanced methanol electro-oxidation activity of Pt/MWCNTs electro-catalyst using manganese oxide deposited on MWCNTs

    International Nuclear Information System (INIS)

    Nouralishahi, Amideddin; Khodadadi, Abbas Ali; Mortazavi, Yadollah; Rashidi, Alimorad; Choolaei, Mohammadmehdi

    2014-01-01

    Highlights: • Promoting effects of manganese oxide (MnO x ) on methanol electro-oxidation over Pt/MWCNTs are studied. • 3.3 times higher activity and improved stability are observed on Pt/MnO x -MWCNTs in MOR. • Both hydrogen spill over and bi-functional mechanism are facilitated in presence of MnO x . • MnO x significantly enhances electrochemical active surface area and dispersion of Pt nanoparticles. • Proton conductivity of electrocatalyst layer is improved upon MnO x incorporation. - Abstract: Electro-oxidation of methanol on platinum nanoparticles supported on a nanocomposite of manganese oxide (MnO x ) and multi-wall carbon nanotubes (MWCNTs) is investigated. The morphology, structure, and chemical composition of the electro-catalysts are characterized by TEM, XRD, EDS, TGA, and H 2 -TPR. The electro-catalytic properties of electrodes are examined by cyclic voltammetry, CO-stripping, electrochemical impedance spectroscopy (EIS), and linear sweep voltammetry (LSV). Compared to Pt/MWCNTs, the Pt/MnO x -MWCNTs electro-catalyst exhibits about 3.3 times higher forward peak current density, during cyclic voltammetry, and 4.6 times higher exchange current density in methanol electro-oxidation reaction. In addition, deposition of manganese oxide onto MWCNTs dramatically increases the electrochemical active surface area from 29.7 for Pt/MWCNTs to 89.4 m 2 g −1 Pt for Pt/MnO x -MWCNTs. The results of long-term cyclic voltammetry show superior stability of Pt nanoparticles upon addition of manganese oxide to the support. Furthermore, the kinetics of formation of the chemisorbed OH groups improves upon manganese oxide incorporation. This leads to a lower onset potential of CO ads oxidation on Pt/MnO x -MWCNTs than on Pt/MWCNTs

  7. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  8. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  9. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  10. Evolution of interfacial toughness of a thermal barrier system with a Pt-diffused {gamma}/{gamma}' bond coat

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.; Liu, J. [School of Materials, University of Manchester, Manchester M1 7HS (United Kingdom); Rickerby, D.S.; Jones, R.J. [Rolls-Royce Plc., PO Box 31, Derby DE24 8BJ (United Kingdom); Xiao, P., E-mail: ping.xiao@manchester.ac.uk [School of Materials, University of Manchester, Manchester M1 7HS (United Kingdom)

    2011-09-15

    A strain-to-fail method has been employed to examine the interfacial adhesion of electron beam-physical vapor deposited thermal barrier coatings (TBCs) with a Pt-diffused {gamma}/{gamma}' bond coat. Based on a previously established model, the estimated interfacial toughness decreases with oxidation time of TBCs. Furthermore, the interfacial toughness value varies considerably with the use of different Young's moduli in the model. It is believed that the modulus obtained from beam bending represents the columnar structure of the TBC. In this case, the mode I interfacial toughness was found to vary from 10 J m{sup -2} for as-deposited TBCs to 0.79 J m{sup -2} for the 60 h oxidized TBCs. The degradation of adhesion could be attributed to the defect formation and impurity segregation at the TGO/bond coat interface, which is associated with the diffusion of Pt.

  11. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  12. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  13. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    International Nuclear Information System (INIS)

    Li, Mingji; Guo, Wenlong; Li, Hongji; Xu, Sheng; Qu, Changqing; Yang, Baohe

    2014-01-01

    Highlights: • The capacitance of graphene/tantalum (Ta) wire electrodes is firstly reported. • Graphene was grown on the Ta surface by hot-filament chemical vapor deposition. • Graphene/Ta wire structure is favorable for fast ion and electron transfer. • The graphene/Ta wire electrode shows high capacitive properties. - Abstract: This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3–3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na 2 SO 4 aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g −1 at current density of 0.5 A g −1 . The capacitance remains at about 84% after 1000 cycles at 10 A g −1 . The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices

  14. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  15. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  16. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  17. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  18. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  19. A PMMA coated PMN–PT single crystal resonator for sensing chemical agents

    International Nuclear Information System (INIS)

    Frank, Michael; Kassegne, Sam; Moon, Kee S

    2010-01-01

    A highly sensitive lead magnesium niobate–lead titanate (PMN–PT) single crystal resonator coated with a thin film of polymethylmethacrylate (PMMA) useful for detecting chemical agents such as acetone, methanol, and isopropyl alcohol is presented. Swelling of the cured PMMA polymer layer in the presence of acetone, methanol, and isopropyl alcohol vapors is sensed as a mass change transduced to an electrical signal by the PMN–PT thickness shear mode sensor. Frequency change in the PMN–PT sensor is demonstrated to vary according to the concentration of the chemical vapor present within the sensing chamber. For acetone, the results indicate a frequency change more than 6000 times greater than that which would be expected from a quartz crystal microbalance coated with PMMA. This study is the first of its kind to demonstrate vapor loading of adsorbed chemical agents onto a polymer coated PMN–PT resonator

  20. High-pressure vapor-phase hydrodeoxygenation of lignin-derived oxygenates to hydrocarbons by a PtMo bimetallic catalyst: Product selectivity, reaction pathway, and structural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Yohe, Sara L.; Choudhari, Harshavardhan J.; Mehta, Dhairya D.; Dietrich, Paul J.; Detwiler, Michael D.; Akatay, Cem M.; Stach, Eric A.; Miller, Jeffrey T.; Delgass, W. Nicholas; Agrawal, Rakesh; Ribeiro, Fabio H.

    2016-12-01

    High-pressure, vapor-phase, hydrodeoxygenation (HDO) reactions of dihydroeugenol (2-methoxy-4-propylphenol), as well as other phenolic, lignin-derived compounds, were investigated over a bimetallic platinum and molybdenum catalyst supported on multi-walled carbon nanotubes (5%Pt2.5%Mo/MWCNT). Hydrocarbons were obtained in 100% yield from dihydroeugenol, including 98% yield of the hydrocarbon propylcyclohexane. The final hydrocarbon distribution was shown to be a strong function of hydrogen partial pressure. Kinetic analysis showed three main dihydroeugenol reaction pathways: HDO, hydrogenation, and alkylation. The major pathway occurred via Pt catalyzed hydrogenation of the aromatic ring and methoxy group cleavage to form 4-propylcyclohexanol, then Mo catalyzed removal of the hydroxyl group by dehydration to form propylcyclohexene, followed by hydrogenation of propylcyclohexene on either the Pt or Mo to form the propylcyclohexane. Transalkylation by the methoxy group occurred as a minor side reaction. Catalyst characterization techniques including chemisorption, scanning transmission electron microscopy, X-ray absorption spectroscopy, and X-ray photoelectron spectroscopy were employed to characterize the catalyst structure. Catalyst components identified were Pt particles, bimetallic PtMo particles, a Mo carbide-like phase, and Mo oxide phases.

  1. Nano-structure formation of Fe-Pt perpendicular magnetic recording media co-deposited with MgO, Al2O3 and SiO2 additives

    International Nuclear Information System (INIS)

    Safran, G.; Suzuki, T.; Ouchi, K.; Barna, P.B.; Radnoczi, G.

    2006-01-01

    Perpendicular magnetic recording media samples were prepared by sputter deposition on sapphire with a layer sequence of MgO seed-layer/Cr under-layer/FeSi soft magnetic under-layer/MgO intermediate layer/FePt-oxide recording layer. The effects of MgO, Al 2 O 3 and SiO 2 additives on the morphology and orientation of the FePt layer were investigated by transmission electron microscopy. The samples exhibited (001) orientation of the L1 FePt phase with the mutual orientations of sapphire substrate//MgO(100)[001]//Cr(100)[11-bar0]//FeSi(100)[11-bar0]//MgO(100) [001]//FePt(001)[100]. The morphology of the FePt films varied due to the co-deposited oxides: The FePt layers were continuous and segmented by stacking faults aligned at 54 o to the surface. Films with SiO 2 addition, beside the oriented columnar FePt grains, exhibited a fraction of misoriented crystallites due to random repeated nucleation. Al 2 O 3 addition resulted in a layered structure, i.e. an initial continuous epitaxial FePt layer covered by a secondary layer of FePt-Al 2 O 3 composite. Both components (FePt and MgO) of the MgO-added samples were grown epitaxially on the MgO intermediate layer, so that a nano-composite of intercalated (001) FePt and (001) MgO was formed. The revealed microstructures and formation mechanisms may facilitate the improvement of the structural and magnetic properties of the FePt-oxide composite perpendicular magnetic recording media

  2. Ru-decorated Pt nanoparticles on N-doped multi-walled carbon nanotubes by atomic layer deposition for direct methanol fuel cells

    DEFF Research Database (Denmark)

    Johansson, Anne-Charlotte Elisabeth Birgitta; Yang, R.B.; Haugshøj, K.B.

    2013-01-01

    We present atomic layer deposition (ALD) as a new method for the preparation of highly dispersed Ru-decorated Pt nanoparticles for use as catalyst in direct methanol fuel cells (DMFCs). The nanoparticles were deposited onto N-doped multi-walled carbon nanotubes (MWCNTs) at 250 °C using trimethyl......(methylcyclopentadienyl)platinum MeCpPtMe3, bis(ethylcyclopentadienyl)ruthenium Ru(EtCp)2 and O2 as the precursors. Catalysts with 5, 10 and 20 ALD Ru cycles grown onto the CNT-supported ALD Pt nanoparticles (150 cycles) were prepared and tested towards the electro-oxidation of CO and methanol, using cyclic voltammetry...... and chronoamperometry in a three-electrode electrochemical set-up. The catalyst decorated with 5 ALD Ru cycles was of highest activity in both reactions, followed by the ones with 10 and 20 ALD Ru cycles. It is demonstrated that ALD is a promising technique in the field of catalysis as highly dispersed nanoparticles...

  3. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  4. Benchmarking Pt and Pt-lanthanide sputtered thin films for oxygen electroreduction

    DEFF Research Database (Denmark)

    Zamburlini, Eleonora; Jensen, Kim Degn; Stephens, Ifan E.L.

    2017-01-01

    Platinum-lanthanide alloys are very promising as active and stable catalysts for the oxygen reduction reaction (ORR) in low-temperature fuel cells. We have fabricated Pt and Pt5Gd metallic thin films via (co-)sputtering deposition in an ultra-high vacuum (UHV) chamber. The electrochemical ORR...

  5. One-step synthesis of graphene-Pt nanocomposites by gamma-ray irradiation

    International Nuclear Information System (INIS)

    Tokai, Akihiro; Okitsu, Kenji; Hori, Fuminobu; Mizukoshi, Yoshiteru; Iwase, Akihiro

    2016-01-01

    We developed a one-step gamma-ray irradiation method to synthesize nanocomposites composed of graphene and Pt nanoparticles from aqueous solution containing graphene and Pt(IV) complex ions in the presence of 2-propanol (IPA) or sodium dodecyl sulfate (SDS). It was confirmed that gamma-ray irradiation provided carbonyl groups on graphene and Pt nanoparticles formed from the radiolytic reduction of Pt(IV) complex ions were deposited onto the carbonyl modified graphene. In the presence of IPA, small Pt nanoparticles were deposited on graphene, but large Pt nanoparticles were deposited in the presence of SDS: the size of Pt nanoparticles formed was larger in the presence of SDS than IPA. Based on the results, formation and deposition mechanisms of Pt nanoparticles were proposed. - Highlights: • Graphene-Pt nanocomposites were synthesized by gamma-ray irradiation. • Reduction of Pt(IV) complex ions and oxidation of graphene occurred simultaneously. • Smaller Pt nanoparticles were formed in the presence of IPA than SDS. • Mechanism for formation of graphene-Pt nanocomposites was proposed.

  6. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  7. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  8. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  9. Vertically aligned carbon nanotubes/carbon fiber paper composite to support Pt nanoparticles for direct methanol fuel cell application

    Science.gov (United States)

    Zhang, Jing; Yi, Xi-bin; Liu, Shuo; Fan, Hui-Li; Ju, Wei; Wang, Qi-Chun; Ma, Jie

    2017-03-01

    Vertically aligned carbon nanotubes (VACNTs) grown on carbon fiber paper (CFP) by plasma enhanced chemical vapor deposition is introduced as a catalyst support material for direct methanol fuel cells (DMFCs). Well dispersed Pt nanoparticles on VACNTs surface are prepared by impregnation-reduction method. The VACNTs on CFP possess well-maintained alignment, large surface area and good electrical conductivity, which leading to the formation of Pt particles with a smaller size and enhance the Pt utilization rate. The structure and nature of resulting Pt/VACNTs/CFP catalysts for methanol oxidation are investigated by transmission electron microscopy (TEM), X-ray diffraction (XRD) and scanning electron microscope (SEM). With the aid of VACNTs, well-dispersed Pt catalysts enable the reversibly rapid redox kinetic since electron transport efficiently passes through a one-dimensional pathway, which leads to enhance the catalytic activity and Pt utilization rate. Compared with the Pt/XC-72/CFP electrode, the electrochemical measurements results display that the Pt/VACNTs/CFP catalyst shows much higher electrocatalytic activity and better stability for methanol oxidation. In addition, the oxidation current from 200 to 1200 s decayed more slowly for the Pt/VACNTs/CFP than that of the Pt/XC-72/CFP catalysts, indicating less accumulation of adsorbed CO species. All those results imply that the Pt/VACNTs/CFP has a great potential for applications in DMFCs.

  10. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  11. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  12. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  13. Electrochemically assisted organosol method for Pt-Sn nanoparticle synthesis and in situ deposition on graphite felt support: Extended reaction zone anodes for direct ethanol fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Lycke, Derek R.; Gyenge, Elod L. [Department of Chemical and Biological Engineering, The University of British Columbia, 2360 East Mall, Vancouver, BC (Canada)

    2007-03-20

    Two electrochemically assisted variants of the Boenneman organosol method were developed for Pt-Sn nanoparticle synthesis and in situ deposition on graphite felt electrodes (e.g. thickness up to 2 mm). Tetraoctylammonium triethylhydroborate N(C{sub 8}H{sub 17}){sub 4}BH(C{sub 2}H{sub 5}){sub 3} was employed as colloid stabilizer and reductant dissolved in tetrahydrofuran (THF). The role of the electric field at a low deposition current density of 1.25 mA cm{sup -2} was mainly electrophoretic causing the migration and adsorption of N(C{sub 8}H{sub 17}){sub 4}BH(C{sub 2}H{sub 5}){sub 3} on the graphite felt surface where it reduced the PtCl{sub 2}-SnCl{sub 2} mixture. Faradaic electrodeposition was detected mostly for Sn. Typical Pt-Sn loadings were between 0.4 and 0.9 mg cm{sup -2} depending on the type of pre-deposition exposure of the graphite felt: surfactant-adsorption and metal-adsorption variant, respectively. The catalyst surface area and Pt:Sn surface area ratio was determined by anodic striping of an underpotential deposited Cu monolayer. The two deposition variants gave different catalyst surfaces: total area 233 and 76 cm{sup 2} mg{sup -1}, with Pt:Sn surface area ratio of 3.5:1 and 7.7:1 for surfactant and metal adsorption, respectively. Regarding electrocatalysis of ethanol oxidation, voltammetry and chronopotentiometry studies corroborated by direct ethanol fuel cell experiments using 0.5 M H{sub 2}SO{sub 4} as electrolyte, showed that due to a combination of higher catalyst load and Pt:Sn surface ratio, the graphite felt anodes prepared by the metal-adsorption variant gave better performance. The catalyzed graphite felt provided an extended reaction zone for ethanol electrooxidation and it gave higher catalyst mass specific peak power outputs compared to literature data obtained using gas diffusion anodes with carbon black supported Pt-Sn nanoparticles. (author)

  14. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  15. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Study of underpotential deposited Cu layers on Pt(111) and their stability against CO and CO2 in perchloric acid

    DEFF Research Database (Denmark)

    Schlaup, Christian Georg; Horch, Sebastian

    2013-01-01

    The underpotential deposition (UPD) of copper on a Pt(111) electrode and the influence of gas coadsorbates, i.e. CO and CO2, on the thus deposited copper layer were studied in a 0.1 M HClO4 electrolyte by means of EC-STM. By UPD, an atomically flat Cu layer is formed, which exhibits a pseudomorph...

  17. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  18. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  19. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Li, Mingji, E-mail: limingji@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Guo, Wenlong [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Hongji, E-mail: hongjili@yeah.net [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China); Xu, Sheng [School of Precision Instrument and Optoelectronics Engineering, Tianjin University, Tianjin 300072 (China); Qu, Changqing; Yang, Baohe [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2014-10-30

    Highlights: • The capacitance of graphene/tantalum (Ta) wire electrodes is firstly reported. • Graphene was grown on the Ta surface by hot-filament chemical vapor deposition. • Graphene/Ta wire structure is favorable for fast ion and electron transfer. • The graphene/Ta wire electrode shows high capacitive properties. - Abstract: This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3–3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na{sub 2}SO{sub 4} aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g{sup −1} at current density of 0.5 A g{sup −1}. The capacitance remains at about 84% after 1000 cycles at 10 A g{sup −1}. The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices.

  20. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  1. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    Science.gov (United States)

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  2. The nucleation and growth of intermetallic Al-Pt phases

    International Nuclear Information System (INIS)

    Kovacs, A.; Barna, P.B.; Labar, J. l.

    2002-01-01

    The nucleation and growth of intermetallic Al-Pt phases on amorphous carbon was investigated by half shadow technique in co-deposited thin films. In such experimental condition, the composition of the deposited films varied in the range of Al x Pt 1-x (0≤x≤0.6). The coexistence of Al 5 Pt, Al 2 Pt, Al 3 Pt 2 intermetallic phases have been found in the whole range with varying ratio. Vapour depositions were performed in an UHV system. The Al and Pt components were evaporated simultaneously onto amorphous carbon layer supported by TEM micro-grids. Deposition rates were controlled separately by quartz crystal monitors. Substrate temperature during deposition was 350 grad C. A special evaporation arrangement made possible to create a half shadow area on the substrate in which the quantity one of the components increased from zero to the wanted composition of the sample. The composition of the zones was determined by energy dispersive X-ray spectroscopy (EDS) in TEM. The intermetallic phases developed in the sample were investigated by analytical TEM (Philips CM20) and high resolution TEM (JEOL 3010 UHR). The electron diffraction patterns have been evaluated by ProcessDiffraction program. (Authors)

  3. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  4. Hydrogenation of Phenol over Pt/CNTs: The Effects of Pt Loading and Reaction Solvents

    OpenAIRE

    Feng Li; Bo Cao; Wenxi Zhu; Hua Song; Keliang Wang; Cuiqin Li

    2017-01-01

    Carbon nanotubes (CNTs)-supported Pt nanoparticles were prepared with selective deposition of Pt nanoparticles inside and outside CNTs (Pt–in/CNTs and Pt–out/CNTs). The effects of Pt loading and reaction solvents on phenol hydrogenation were investigated. The Pt nanoparticles in Pt–in/CNTs versus Pt–out/CNTs are smaller and better dispersed. The catalytic activity and reuse stability toward phenol hydrogenation both improved markedly. The dichloromethane–water mixture as the reaction solvent,...

  5. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  6. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  7. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  8. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  9. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  10. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  11. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  12. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  13. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    Science.gov (United States)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-05-10

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures ( 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Structural and magnetic properties of ion-beam bombarded Co/Pt multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Lin, K.W.; Guo, J.Y.; Lin, S.R.; Ouyang, H. [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402 (China); Tsai, C.J. [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300 (China); Van Lierop, J. [Department of Physics and Astronomy, University of Manitoba, Winnipeg (Canada); Phuoc, N.N.; Suzuki, T. [Information Storage Materials Laboratory, Toyota Technological Institute, Nagoya 468-8511 (Japan)

    2007-12-15

    A series of [Pt(2 nm)/Co(2 nm)]{sub 10}/Pt(30 nm) multilayers were deposited by using an ion-beam technique. X-ray diffraction and transmission electron microscopy results have shown that as-deposited samples consist of h.c.p. Co and f.c.c. Pt phases. Disordered CoPt{sub 3} phases were developed with increasing End-Hall voltage (V{sub EH}) that induces greater ion-beam bombardment energy during deposition. This indicates that intermixing of Co and Pt increases with ion-beam bombardment. The coercivities (ranging from 100 Oe to 300 Oe) of Co/Pt multilayers decreased with increasing V{sub EH}. After annealing, the formation of CoPt{sub 3} was observed in these ion-beam bombarded samples, resulting in lower coercivities (H{sub c}{proportional_to} 50 Oe). The depressed transition temperature of CoPt{sub 3} for films deposited with the largest V{sub EH} was attributed to distorted CoPt{sub 3} structures that appeared with annealing. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  16. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  17. Architecture-dependent surface chemistry for Pt monolayers on carbon-supported Au.

    Science.gov (United States)

    Cheng, Shuang; Rettew, Robert E; Sauerbrey, Marc; Alamgir, Faisal M

    2011-10-01

    Pt monolayers were grown by surface-limited redox replacement (SLRR) on two types of Au nanostructures. The Au nanostructures were fabricated electrochemically on carbon fiber paper (CFP) by either potentiostatic deposition (PSD) or potential square wave deposition (PSWD). The morphology of the Au/CFP heterostructures, examined using scanning electron microscopy (SEM), was found to depend on the type of Au growth method employed. The properties of the Pt deposit, as studied using X-ray photoelectron spectroscopy (XPS), X-ray absorption spectroscopy (XAS), and cyclic voltammetry (CV), were found to depend strongly on the morphology of the support. Specifically, it was found that smaller Au morphologies led to a higher degree of cationicity in the resulting Pt deposit, with Pt(4+) and Pt(2+) species being identified using XPS and XAS. For fuel-cell catalysts, the resistance of ultrathin catalyst deposits to surface area loss through dissolution, poisoning, and agglomeration is critical. This study shows that an equivalent of two monolayers (ML) is the low-loading limit of Pt on Au. At 1 ML or below, the Pt film decreases in activity and durability very rapidly due to presence of cationic Pt. © 2011 American Chemical Society

  18. Transport properties of metal-semiconductor junctions on n-type InP prepared by electrophoretic deposition of Pt nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Yatskiv, Roman; Grym, Jan; Brus, V.V.; Černohorský, Ondřej; Maryanchuk, P.D.; Bazioti, C.; Dimitrakopulos, G.P.; Komninou, Ph.

    2014-01-01

    Roč. 29, č. 4 (2014), Article number 045017 ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : electrophoretic deposition * Pt nanoparticles * Schottky diodes Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.190, year: 2014

  19. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  20. L1{sub 0}-FePt films fabricated by wet-chemical route

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Xiaoliang; Xiao, Wen; Bao, Nina; Li, Weimin; Chichvarina, Olga, E-mail: A0077107@nus.edu.sg; Ding, Jun, E-mail: msedingj@nus.edu.sg

    2015-08-31

    In this work, we have developed a method to fabricate FePt films by a combination of chemical deposition and post-annealing. Pt-doped Fe films were deposited on Pt(100 nm)/Ti(50 nm)/SiO{sub 2}/Si substrate using thermal deposition and the as-deposited films were subsequently annealed from 300 °C to 800 °C under 5% H{sub 2}/95% N{sub 2}. FePt films were achieved through diffusion and rearrangement of Fe and Pt atoms in post-annealing process. From X-ray diffraction results, the face-centered cubic (fcc) FePt phase appeared at 300 °C and the transformation from fcc to L1{sub 0} phase started at 400 °C. The L1{sub 0}-FePt film possessed an out-of-plane anisotropy and a coercivity of 729 kA/m after annealing at 600 °C. A further increase in annealing temperature led to lower value of coercivity, probably because of grain growth. In addition, the thickness of Pt-doped Fe films could be controlled from 150 nm to 700 nm by adjusting the amount of surfactant used. Our superconducting quantum interference device analysis showed that Pt dopant could significantly improve the chemical stability of Fe films in air. - Highlights: • We fabricated FePt film by a combination of chemical deposition and post-annealing. • L1{sub 0} FePt film was formed by Fe/Pt diffusion in annealing of Pt-doped Fe film. • L1{sub 0}-phase FePt with high coercivity and small out-of-plane anisotropy • Relatively small amount of Pt dopant can enhance chemical stability greatly. • We studied structure and magnetic property of as-deposited and annealed FePt film.

  1. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  2. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  3. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  4. Magnetic and electronic properties of a Pt-Co bilayer on Pt(1 1 1)

    International Nuclear Information System (INIS)

    Giovanelli, L.; De Santis, M.; Panaccione, G.; Sirotti, F.; Torelli, P.; Vobornik, I.; Larcipretea, R.; Egger, S.; Saint-Lager, M.C.; Dolle, P.; Rossi, G.

    2005-01-01

    Atomically thin Co/Pt(1 1 1) interfaces grown at different temperatures are characterized by very different values of perpendicular magnetic anisotropy as a consequence of the local structure and coordination. Here we present a study of the structural, magnetic and electronic properties for interfaces grown in UHV onto clean Pt(1 1 1) in different kinetic conditions. When one monolayer of Co is deposited at 540 K a thermally activated exchange reaction leads to a sharp Pt-Co double interface giving rise to a strong increase of the magneto-optical response with respect to the Co monolayer deposited at room temperature. The results are interpreted in terms of atomic hybridization as detected by valence band photoelectron spectroscopy

  5. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    Science.gov (United States)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  6. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  7. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  8. National implementation of the UNECE convention on long-range transboundary air pollution (effects). Pt. 1. Deposition loads: methods, modelling and mapping results, trends

    Energy Technology Data Exchange (ETDEWEB)

    Gauger, Thomas [Federal Agricultural Research Centre, Braunschweig (DE). Inst. of Agroecology (FAL-AOE); Stuttgart Univ. (Germany). Inst. of Navigation; Haenel, Hans-Dieter; Roesemann, Claus [Federal Agricultural Research Centre, Braunschweig (DE). Inst. of Agroecology (FAL-AOE)

    2008-09-15

    The report on the implementation of the UNECE convention on long-range transboundary air pollution Pt.1, deposition loads (methods, modeling and mapping results, trends) includes the following chapters: Introduction, deposition on air pollutants used for the input for critical loads in exceeding calculations, methods applied for mapping total deposition loads, mapping wet deposition, wet deposition mapping results, mapping dry deposition, dry deposition mapping results, cloud and fog mapping results, total deposition mapping results, modeling the air concentration of acidifying components and heavy metals, agricultural emissions of acidifying and eutrophying species.

  9. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  10. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  11. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  12. Improved Pt/Au and W/Pt/Au Schottky contacts on n-type ZnO using ozone cleaning

    International Nuclear Information System (INIS)

    Ip, K.; Gila, B.P.; Onstine, A.H.; Lambers, E.S.; Heo, Y.W.; Baik, K.H.; Norton, D.P.; Pearton, S.J.; Kim, S.; LaRoche, J.R; Ren, F.

    2004-01-01

    UV-ozone cleaning prior to metal deposition of either e-beam Pt contacts or sputtered W contacts on n-type single-crystal ZnO is found to significantly improve their rectifying characteristics. Pt contacts deposited directly on the as-received ZnO surface are Ohmic but show rectifying behavior with ozone cleaning. The Schottky barrier height of these Pt contacts was 0.70 eV, with ideality factor of 1.5 and a saturation current density of 6.2x10 -6 A cm -2 . In contrast, the as-deposited W contacts are Ohmic, independent of the use of ozone cleaning. Postdeposition annealing at 700 deg. C produces rectifying behavior with Schottky barrier heights of 0.45 eV for control samples and 0.49 eV for those cleaned with ozone exposure. The improvement in rectifying properties of both the Pt and W contacts is related to removal of surface carbon contamination from the ZnO

  13. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  14. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    Science.gov (United States)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  15. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  16. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  17. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  18. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  19. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  20. Electrochemical properties of mixed WC and Pt-black powders

    Directory of Open Access Journals (Sweden)

    MAJA D. OBRADOVIC

    2008-12-01

    Full Text Available The electrochemical characteristics of a mixture of Pt-black and WC powders and its catalytic activity for methanol and formic acid oxidation were investigated in acid solution. XRD and AFM measurements revealed that the WC powder employed for the investigation was a single-phase material consisting of crystallites/spherical particles of average size of about 50 nm, which were agglomerated into much larger particles. Cyclic voltammetry showed that the WC underwent electrochemical oxidation, producing tungstate species. In the case of the mixed Pt + WC powders, the tungstate species were deposited on the Pt as a thin film of hydrous tungsten oxide. Enhanced hydrogen intercalation in the hydrous tungsten oxide was observed and it was proposed to be promoted in mixed powders by the presence of hydrogen adatoms on bare Pt sites. The determination of Pt surface area in the Pt + WC layer by stripping of underpotentially deposited Cu revealed that the entire Pt surface was accessible for underpotential deposition of Cu. Investigation of the electrochemical oxidation of methanol and formic acid on Pt + WC and pure Pt layers did not indicate electrocatalytic promotion due to the presence of WC.

  1. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  2. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  3. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  4. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  5. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  7. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  8. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  9. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  10. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  11. Mechanistic studies of formic acid oxidation at polycarbazole supported Pt nanoparticles

    International Nuclear Information System (INIS)

    Moghaddam, Reza B.; Pickup, Peter G.

    2013-01-01

    Highlights: •A polycarbazole support decreases the accumulation of adsorbed intermediates on Pt during formic acid oxidation. •Polycarbazole causes a bilayer of Cu to form on Pt nanoparticles during Cu underpotential deposition. •XPS suggests that both of these effects are due to electron donation from the metal (Pt or Cu) into the polymer π-system. -- Abstract: Mechanistic aspects of the promotion of formic acid oxidation at Pt nanoparticles supported on a thin layer of polycarbazole (PCZ) have been investigated by voltammetry and X-ray photoelectron spectroscopy (XPS). The Pt nanoparticles were drop coated onto a glassy carbon (GC) electrode coated with a ca. 9 nm layer of electrochemically deposited polycarbazole. After 500 s of formic acid oxidation at 0 V vs. SCE, the current at a GC/PCZ/Pt electrode was 25 times higher than at a GC/Pt electrode. Voltammetry in formic acid free H 2 SO 4 following potentiostatic oxidation of formic acid revealed that there was less accumulation of adsorbed intermediates for the polycarbazole supported Pt nanoparticles than for those deposited directly onto the glassy carbon with, 50% more Pt sites remaining available for the GC/PCZ/Pt electrode relative to the GC/Pt electrode. Independent CO stripping experiments revealed only slight differences, while Cu underpotential deposition surprisingly resulted in the deposition of a ca. two-fold excess of Cu on the polycarbazole supported particles. This observation was supported by XPS which also revealed a second Cu signal at a higher binding energy, suggesting electron donation into the conjugated π system of the polymer. Such an interaction of Pt with the polycarbazole may be responsible for its higher activity for formic acid oxidation

  12. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  13. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  14. Electrochemical deposition of the first Cd monolayer on polycrystalline Pt and Au electrodes: an Upd study

    Directory of Open Access Journals (Sweden)

    Santos Mauro C. dos

    1998-01-01

    Full Text Available The underpotential deposition of Cd on polycrystalline Pt and Au was studied by voltammetry at stationary and rotating ring-disc electrodes. On Pt, the Cd ads dissolution peaks overlap those related to the oxidation of Hads, thus hindering the precise evaluation of desorption charges. A model proposed to calculate such charges from voltammetry at stationary electrodes revealed a value of 285 muC cm-2 for the monolayer dissolution, which corresponds to a coverage of 90% with Cd ads presenting an electrosorption valence of 0.5. Rotating ring-disc experiments fully confirmed such values. The misfit between atomic radii of Cd and Pt justifies the less-than-100% coverage. On the other hand, on Au, the absence of Hads simplifies the procedure for determination of dissolution charges for the Cd monolayer. Here, a value of only 41 muC cm-2 was calculated, which corresponds to a maximum coverage of 15%, with the electrosorption valence of 0.5. The results obtained in the collecting experiments with the rotating electrode are in complete agreement with those values.

  15. Functionalized graphene-Pt composites for fuel cells and photoelectrochemical cells

    Science.gov (United States)

    Diankov, Georgi; An, Jihwan; Park, Joonsuk; Goldhaber, David J. K.; Prinz, Friedrich B.

    2017-08-29

    A method of growing crystals on two-dimensional layered material is provided that includes reversibly hydrogenating a two-dimensional layered material, using a controlled radio-frequency hydrogen plasma, depositing Pt atoms on the reversibly hydrogenated two-dimensional layered material, using Atomic Layer Deposition (ALD), where the reversibly hydrogenated two-dimensional layered material promotes loss of methyl groups in an ALD Pt precursor, and forming Pt-O on the reversibly hydrogenated two-dimensional layered material, using combustion by O.sub.2, where the Pt-O is used for subsequent Pt half-cycles of the ALD process, where growth of Pt crystals occurs.

  16. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  17. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  18. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  19. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  20. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  1. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    Science.gov (United States)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  2. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  3. Numerical evaluation of ABS parts fabricated by fused deposition modeling and vapor smoothing

    Directory of Open Access Journals (Sweden)

    Sung-Uk Zhang

    2017-12-01

    Full Text Available The automotive industry has focused to use polymer materials in order to increase energy efficiency. So, the industry pays attention to use 3D printing technologies using several polymers. Among several 3D printer technologies, fused deposition modeling (FDM is one of the popular 3D printing technologies due to an inexpensive extrusion machine and multi-material printing. FDM could use thermoplastics such as ABS, PLA, ULTEM so on. However, it has a problem related to the post-processing because FDM has relatively poor layer resolution. In this study, the mechanical properties of ABS parts fabricated by FDM were measured. The ABS parts were divided into one with vapor smoothing process and the other without the vapor smoothing process which is one of the post-processing methods. Using dynamic mechanical analysis (DMA and dilatometer, temperature-dependent storage modulus and CTE for ABS specimens were measured. Based on the measured thermo-mechanical properties of ABS parts, finite element analysis was performed for an automotive bumper made of ABS. Moreover, response surface methodology was applied to study relationships among design parameters of thickness of the bumper, ambient temperature, and application of the vapor smoothing process. In result, a design guideline for a ABS product could be provided without time-consuming experiments

  4. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  5. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  6. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  7. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  8. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  9. Synthesis and characterization of Pd-on-Pt and Au-on-Pt bimetallic nanosheaths on multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Wang Shuangyin; Jiang, San Ping; Wang Xin

    2011-01-01

    The authors have successfully synthesized Pd-on-Pt (thickness: 12 nm) and Au-on-Pt bimetallic nanosheaths on multiwalled carbon nanotubes (MWCNTs) via a seed-mediated growth approach. Pt nanoparticles as seeds were pre-deposited on MWCNTs with uniform distribution followed by the successive seed-mediated growth of metal atoms reduced by a weak reducing agent, ascorbic acid. The essential role of pre-deposited nanoseed particles on MWCNTs was demonstrated. The as-prepared materials were characterization by transition electron microscopy, energy-dispersive X-ray spectroscopy, and element mapping tools. The current strategy extends the classical seed-mediated growth method to prepare bimetallic nanosheath on MWCNT support.

  10. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  11. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  12. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  13. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  14. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  15. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  16. Magnetic properties of bimetallic nanoislands deposited on Pt(111)

    Energy Technology Data Exchange (ETDEWEB)

    Bornemann, Sven; Minar, Jan; Mankovsky, Sergey; Ebert, Hubert [Department Chemie und Biochemie, LMU Muenchen, 81377 Muenchen (Germany); Ouazi, Safia; Rusponi, Stefano; Brune, Harald [Institute of Condensed Matter Physics, EPF Lausanne (Switzerland); Staunton, Julie B. [Department of Physics, University of Warwick (United Kingdom)

    2010-07-01

    In recent years, magnetic nanostructures on surfaces have been the subject of intense research activities which are driven by fundamental as well as practical interests. One of the central questions for future applications is how the magnetic properties like the magnetic anisotropy evolve in-between single magnetic adatoms and submonolayer magnetic particle arrays. Experimentalists have succeeded in assembling surface supported single domain particles where the magnetic moments of all atoms form a so-called macrospin and it is commonly believed that the special magnetic characteristics of such structures are mainly due to their exposed low-coordinated edge atoms. For some of these novel systems, however, unexpected low anisotropies or reduced magnetic moments are observed which makes it difficult to find promising candidates for real life technical applications. To support these experimental efforts the fully relativistic spin-polarized KKR method has been applied to investigate the influence of spin-orbit coupling on the magnetic properties of various FeCo nanostructures deposited on Pt(111). The discussion focuses on interface and alloy contributions to the magnetic anisotropy in these systems.

  17. PMN-PT single crystal, high-frequency ultrasonic needle transducers for pulsed-wave Doppler application.

    Science.gov (United States)

    Zhou, Qifa; Xu, Xiaochen; Gottlieb, Emanuel J; Sun, Lei; Cannata, Jonathan M; Ameri, Hossein; Humayun, Mark S; Han, Pengdi; Shung, K Kirk

    2007-03-01

    High-frequency needle ultrasound transducers with an aperture size of 0.4 mm were fabricated using lead magnesium niobate-lead titanate (PMN-33% PT) as the active piezoelectric material. The active element was bonded to a conductive silver particle matching layer and a conductive epoxy backing through direct contact curing. An outer matching layer of parylene was formed by vapor deposition. The active element was housed within a polyimide tube and a 20-gauge needle housing. The magnitude and phase of the electrical impedance of the transducer were 47 omega and -38 degrees, respectively. The measured center frequency and -6 dB fractional bandwidth of the PMN-PT needle transducer were 44 MHz and 45%, respectively. The two-way insertion loss was approximately 15 dB. In vivo high-frequency, pulsed-wave Doppler patterns of blood flow in the posterior portion and in vitro ultrasonic backscatter microscope (UBM) images of the rabbit eye were obtained with the 44-MHz needle transducer.

  18. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  19. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  20. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  1. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  2. Fabrication and characterization of implantable and flexible nerve cuff electrodes with Pt, Ir and IrOx films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Lee, Soo Hyun; Jung, Jung Hwan; Chae, Youn Mee; Kang, Ji Yoon; Suh, Jun-Kyo Francis

    2010-01-01

    This paper presents the fabrication and characterization of implantable and flexible nerve cuff electrodes for neural interfaces using the conventional BioMEMS technique. In order to fabricate a flexible nerve electrode, polyimide (PI) was chosen as the substrate material. Then, nerve electrodes were thermally re-formed in a cuff shape so as to increase the area in which the charges were transferred to the nerve. Platinum (Pt), iridium (Ir) and iridium oxide (IrO x ) films, which were to serve as conducting materials for the nerve electrodes, were deposited at different working pressures by RF magnetron sputtering. The electrochemical properties of the deposited films were characterized by electrochemical impedance spectroscopy (EIS). The charge delivery capacities of the films were recorded and calculated by cyclic voltammetry (CV). The deposited films of Pt, Ir and IrO x have strong differences in electrochemical properties, which depend on the working pressure of sputter. Each film deposited at 30 mTorr of working pressure shows the highest value of charge delivery capacity (CDC). For the IrO x films, the electrochemical properties were strongly affected by the working pressure as well as the Ar:O 2 gas ratio. The IrO x film deposited with an Ar:O 2 gas ratio of 8:1 showed the highest CDC of 59.5 mC cm −2 , which was about five times higher than that of films deposited with a 1:1 gas ratio.

  3. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  4. Microwave-polyol synthesis and electrocatalytic performance of Pt/graphene nanocomposites

    International Nuclear Information System (INIS)

    Liao, Chien-Shiun; Liao, Chien-Tsao; Tso, Ching-Yu; Shy, Hsiou-Jeng

    2011-01-01

    Highlights: · One-pot microwave-polyol synthesis of Pt/graphene electrocatalyst. · Simultaneous formation of Pt nanoparticles and reduction of graphene oxide. · Electrocatalytic activities depend on the morphology of the deposited Pt particles. · Dense dispersion of isolated Pt particles with high electrochemical active surface. · Few particle clusters of Pt have large number of active sites for methanol oxidation. - Abstract: Graphene oxide (GO) prepared by the modified Hummers method is used as a support in the formation of a Pt/GO nanocomposite electrocatalyst by microwave-polyol synthesis. The effects of microwave reaction times on particle size, dispersion, and electrocatalytic performance of Pt nanoparticles are studied using wide-angle X-ray diffractometery, Raman spectroscopy, transmission electron microscopy and three-electrode electrochemical measurements. The results indicate that Pt nanoparticles nucleation and growth occur, and the particles are uniformly deposited on the GO nanosheets within a short time. The maximum electrochemical active surface area 85.71 m 2 g -1 for a Pt/GO reaction time of 5 min, is a result of the deposition of a dense dispersion of small Pt particles. The highest methanol oxidation peak current density, I f , of 0.59 A mg -1 occurs for a Pt/GO reaction time of 10 min and is due to the formation of interconnecting Pt particles clusters. This novel Pt/GO nanocomposite electrocatalyst with high electrocatalytic activities has the potential for use as an anode material in fuel cells.

  5. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  6. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  7. Optimal series-parallel connection method of dye-sensitized solar cell for Pt thin film deposition using a radio frequency sputter system

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jin-Young; Hong, Ji-Tae; Seo, Hyunwoong; Kim, Mijeong; Son, Min-Kyu; Lee, Kyoung-Jun [Department of Electrical Engineering, Pusan National University Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of); Lee, Dong-Yoon [Advanced Materials and Application research Laboratory, Korea Electrotechnology Research Institute, 28-1, Seongju-dong, Changwon-city, Kyongnam, 641-120 (Korea, Republic of); Kim, Hee-Je [Department of Electrical Engineering, Pusan National University Jangjeon-dong, Geumjeong-gu, Busan 609-735 (Korea, Republic of)], E-mail: heeje@pusan.ac.kr

    2008-11-28

    The counter electrode widely used in DSC (Dye-sensitized solar cells) is constructed of a conducting glass substrate coated with a Pt film, in which the platinum acts as a catalyst. The characteristics of the platinum electrode depend strongly on the fabrication process and the electrode's surface condition. In this study, Pt counter electrodes were deposited by radio frequency (RF) sputtering with 6.7 x 10{sup -1} Pa Ar, RF power of 120 W and substrate temperature of 100 deg. C . The surface morphology of Pt electrodes was investigated using field emission scanning electron microscopy and atomic force microscopy. Comparison of samples prepared by RF sputtering and RF magnetron sputtering showed that the surface of the RF sputter deposited electrode had a larger surface area resulting in more effective catalytic characteristics. Finally, an open voltage of 4.8 V, a short circuit current of 569 mA and a photoelectric conversion efficiency of approximately 3.6% were achieved for cells composed of 30 DSC units of 6 cm x 4 cm DSC units with 6 cells in series and 5 cells in parallel.

  8. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  10. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  11. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  12. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  13. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  14. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  15. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  16. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  17. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  18. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  19. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  20. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  1. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  2. Effect of PW12–GPK on the acid characteristics of Ni-, Pd- and Pt- catalysts deposited onto pillared Al montmorillonite

    Directory of Open Access Journals (Sweden)

    D. Zhumadullaev

    2012-03-01

    Full Text Available Acid characteristics of Ni-, Pd-, Pt- catalyzers , deposited to Al pillared CaH montmorillonite modified by heteropolyacid H3PW12O40·xH2O (PW12 by ammonia thermoadsorbtion method has been studied.

  3. Carbon-coated NiPt, CoPt nanoalloys: size control and magnetic properties

    Energy Technology Data Exchange (ETDEWEB)

    El-Gendy, A.A. [Kirchhoff Institute for Physics, University of Heidelberg, D-69120 Heidelberg (Germany); Leibniz Institute for Solid State and Materials Research (IFW) Dresden (Germany); Hampel, S.; Leonhardt, A.; Khavrus, V.; Buechner, B. [Leibniz Institute for Solid State and Materials Research (IFW) Dresden (Germany); Klingeler, R. [Kirchhoff Institute for Physics, University of Heidelberg, D-69120 Heidelberg (Germany)

    2011-07-01

    Controlled synthesis of magnetic nanoparticles with well-defined size and composition is always a challenge in material-based nanoscience. Here, we apply the high pressure chemical vapour deposition technique (HPCVD) to obtain carbon-shielded magnetic alloy nanoparticles under control of the particle size. Carbon encapsulated NiPt, CoPt (NiPt rate at C, CoPt rate at C) nanoalloys were synthesized by means of HPCVD starting from sublimating appropriate metal-organic precursors. Structural characterization by means of high resolution transmission electron microscopy, energy dispersive X-ray analysis and X-ray diffraction indicated the formation of coated bimetallic Ni{sub x}Pt{sub 100-x} and CoxPt{sub 100-x} nanoparticles. Adjusting the sublimation temperature of the different precursors allowed tuning the core sizes with small size distribution. In addition, detailed studies of the magnetic properties are presented. AC magnetic heating studies imply the potential of the coated nanoalloys for hyperthermia therapy.

  4. From Single Atoms to Nanoparticles : Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder

    NARCIS (Netherlands)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A.I.; Kovalgin, Alexey Y.; Kooyman, Patricia; Kreutzer, Michiel T.; van Ommen, Jan Rudolf

    2018-01-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O2 as the coreactant

  5. On-chip fabrication of alkali-metal vapor cells utilizing an alkali-metal source tablet

    International Nuclear Information System (INIS)

    Tsujimoto, K; Hirai, Y; Sugano, K; Tsuchiya, T; Tabata, O; Ban, K; Mizutani, N

    2013-01-01

    We describe a novel on-chip microfabrication technique for the alkali-metal vapor cell of an optically pumped atomic magnetometer (OPAM), utilizing an alkali-metal source tablet (AMST). The newly proposed AMST is a millimeter-sized piece of porous alumina whose considerable surface area holds deposited alkali-metal chloride (KCl) and barium azide (BaN 6 ), source materials that effectively produce alkali-metal vapor at less than 400 °C. Our experiments indicated that the most effective pore size of the AMST is between 60 and 170 µm. The thickness of an insulating glass spacer holding the AMST was designed to confine generated alkali metal to the interior of the vapor cell during its production, and an integrated silicon heater was designed to seal the device using a glass frit, melted at an optimum temperature range of 460–490 °C that was determined by finite element method thermal simulation. The proposed design and AMST were used to successfully fabricate a K cell that was then operated as an OPAM with a measured sensitivity of 50 pT. These results demonstrate that the proposed concept for on-chip microfabrication of alkali-metal vapor cells may lead to effective replacement of conventional glassworking approaches. (paper)

  6. Influence of piezoelectric strain on the Raman spectra of BiFeO{sub 3} films deposited on PMN-PT substrates

    Energy Technology Data Exchange (ETDEWEB)

    Himcinschi, Cameliu, E-mail: himcinsc@physik.tu-freiberg.de; Talkenberger, Andreas; Kortus, Jens [TU Bergakademie Freiberg, Institute of Theoretical Physics, 09596 Freiberg (Germany); Guo, Er-Jia [Institute of Physics, Martin-Luther-University Halle-Wittenberg, 06099 Halle (Germany); Institute for Metallic Materials, IFW Dresden, 01069 Dresden (Germany); Quantum Condensed Matter Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37830 (United States); Dörr, Kathrin [Institute of Physics, Martin-Luther-University Halle-Wittenberg, 06099 Halle (Germany); Institute for Metallic Materials, IFW Dresden, 01069 Dresden (Germany)

    2016-01-25

    BiFeO{sub 3} epitaxial thin films were deposited on piezoelectric 0.72Pb(Mg{sub 1/3}Nb{sub 2/3})O{sub 3}-0.28PbTiO{sub 3} (PMN-PT) substrates with a conductive buffer layer (La{sub 0.7}Sr{sub 0.3}MnO{sub 3} or SrRuO{sub 3}) using pulsed laser deposition. The calibration of the strain values induced by the electric field applied on the piezoelectric PMN-PT substrates was realised using X-Ray diffraction measurements. The method of piezoelectrically induced strain allows one to directly obtain a quantitative correlation between the strain and the shift of the Raman-active phonons. This is a prerequisite for making Raman scattering a strong tool to probe the strain coupling in multiferroic nanostructures. Using the Poisson's number for BiFeO{sub 3}, one can determine the volume change induced by strain, and therefore the Grüneisen parameters for specific phonon modes.

  7. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  8. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  9. Optimum Pt and Ru atomic composition of carbon-supported Pt-Ru alloy electrocatalyst for methanol oxidation studied by the polygonal barrel-sputtering method

    International Nuclear Information System (INIS)

    Hiromi, Chikako; Inoue, Mitsuhiro; Taguchi, Akira; Abe, Takayuki

    2011-01-01

    Highlights: → The sputtered Pt and Ru form the Pt-Ru alloy nanoparticles on the carbon support. → The deposited Pt-Ru alloy particles have uniform Pt:Ru atomic ratios. → The optimum Pt:Ru ratio of the Pt-Ru/C for methanol oxidation is 58:42 at.% at 25 deg. C. → The optimum Pt:Ru ratio of 58:42 shifts to 50:50 at.% at 40 and 60 deg. C. → The polygonal barrel-sputtering method is useful to prepare the DMFC anode catalyst. - Abstract: The optimum Pt and Ru atomic composition of a carbon-supported Pt-Ru alloy (Pt-Ru/C) used in a practical direct methanol fuel cell (DMFC) anode was investigated. The samples were prepared by the polygonal barrel-sputtering method. Based on the physical properties of the prepared Pt-Ru/C samples, the Pt-Ru alloy was found to be deposited on a carbon support. The microscopic characterization showed that the deposited alloy forms nanoparticles, of which the atomic ratios of Pt and Ru (Pt:Ru ratios) are uniform and are in accordance with the overall Pt:Ru ratios of the samples. The formation of the Pt-Ru alloy is also supported by the electrochemical characterization. Based on these results, methanol oxidation on the Pt-Ru/C samples was measured by cyclic voltammetry and chronoamperometry. The results indicated that the methanol oxidation activities of the prepared samples depended on the Pt:Ru ratios, of which the optimum Pt:Ru ratio is 58:42 at.% at 25 deg. C and 50:50 at.% at 40 and 60 deg. C. This temperature dependence of the optimum Pt:Ru ratio is well explained by the relationship between the methanol oxidation reaction process and the temperature, which is reflected in the rate-determining steps considered from the activation energies. It should be noted that at 25-60 deg. C, the Pt-Ru/C with Pt:Ru = 50:50 at.% prepared by our sputtering method has the higher methanol oxidation activity than that of a commercially available sample with the identical overall Pt:Ru ratio. Consequently, the polygonal barrel-sputtering method

  10. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  11. Atom distribution and interactions in Ag{sub x}Pt{sub 1-x} and Au{sub x}Pt{sub 1-x} surface alloys on Pt(111)

    Energy Technology Data Exchange (ETDEWEB)

    Roetter, Ralf T.; Bergbreiter, Andreas; Hoster, Harry E.; Behm, R. Juergen [Institute of Surface Chemistry and Catalysis, Ulm University, D-89069 Ulm (Germany)

    2009-07-01

    The atom distributions in Ag{sub x}Pt{sub 1-x}/Pt(111) and Au{sub x}Pt{sub 1-x}/Pt(111) surface alloys were studied by high resolution UHV-STM. These surfaces were prepared by submonolayer Ag (Au) metal deposition on Pt(111), followed by annealing at 900 K or 1000 K, respectively, which in both cases results in surface confined 2D alloys, with equilibrated distribution of the components. Both systems show a tendency towards two-dimensional clustering, which fits well to their known bulk immiscibility. Effective cluster interactions (ECIs) will be derived by a quantitative evaluation of the 2D atom distributions in the surface alloys. By comparing the ECIs for PtAg and PtAu on Pt(111), and considering that Ag and Au have almost similar lattice constants, the results allow conclusion on the physical origin of the tendency for clustering.

  12. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  13. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  14. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  15. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  16. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  17. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  18. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  19. Highly sensitive room temperature ammonia gas sensor based on Ir-doped Pt porous ceramic electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Wenlong [College of pharmacy and biological engineering, Chengdu University, Chengdu, 610106 (China); Department of chemical and materials engineering, National Chin-Yi University of Technology, Taichung 411, Taiwan (China); Liu, Yen-Yu [Department of chemical and materials engineering, Tunghai University, Taichung 407, Taiwan (China); Do, Jing-Shan, E-mail: jsdo@ncut.edu.tw [Department of chemical and materials engineering, National Chin-Yi University of Technology, Taichung 411, Taiwan (China); Li, Jing, E-mail: lijing@cdu.edu.cn [College of pharmacy and biological engineering, Chengdu University, Chengdu, 610106 (China)

    2016-12-30

    Highlights: • Water vapors seem to hugely improve the electrochemical activity of the Pt and Pt-Ir porous ceramic electrodes. • The gas sensors based on the Pt and Pt-Ir alloy electrodes possess good sensing performances. • The reaction path of the ammonia on platinum has been discussed. - Abstract: Room temperature NH{sub 3} gas sensors based on Pt and Pt-Ir (Ir doping Pt) porous ceramic electrodes have been fabricated by both electroplating and sputtering methods. The properties of the gaseous ammonia sensors have been examined by polarization and chronoamperometry techniques. The influence of humidity on the features of the resulting sensors in the system has also been discussed, and the working potential was optimized. Water vapors seem to hugely improve the electrochemical activity of the electrode. With increasing the relative humidity, the response of the Pt-Ir(E)/Pt(S)/PCP sensor to NH{sub 3} gas could be enhanced remarkably, and the sensitivity increases from 1.14 to 12.06 μA ppm{sup −1} cm{sup −2} .Then we have also discussed the sensing mechanism of the Pt-Ir sensor and the result has been confirmed by X-ray photoelectron spectroscopy of the electrode surface before and after reaction in the end.

  20. Toward the direct deposition of L10 FePt nanoparticles

    International Nuclear Information System (INIS)

    Qiu Jiaoming; Judy, Jack H.; Weller, Dieter; Wang Jianping

    2005-01-01

    In this paper we report a technique that can directly fabricate L1 0 phase FePt nanoparticles. FePt nanoparticles were generated through gas-phase aggregation using a magnetron-sputtering-based nanocluster source. Following the source chamber, an online halogen-lamp heater was used for the L1 0 phase formation during the particles' flight in vacuum. Transmission electron microscopy and vibrating-sample magnetometer data verified the successful fabrication of the L1 0 phase FePt nanoparticles. The coercivity value at 300 K is 1100 Oe for the nanoparticles with online heating. Neon carrier gas was applied to manipulate FePt nanoparticle size and to enhance particle size uniformity. The size dependence of nanoparticle ordering was investigated

  1. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  2. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  3. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  4. MnO2/CNT supported Pt and PtRu nanocatalysts for direct methanol fuel cells.

    Science.gov (United States)

    Zhou, Chunmei; Wang, Hongjuan; Peng, Feng; Liang, Jiahua; Yu, Hao; Yang, Jian

    2009-07-07

    Pt/MnO2/carbon nanotube (CNT) and PtRu/MnO2/CNT nanocomposites were synthesized by successively loading hydrous MnO2 and Pt (or PtRu alloy) nanoparticles on CNTs and were used as anodic catalysts for direct methanol fuel cells (DMFCs). The existence of MnO2 on the surface of CNTs effectively increases the proton conductivity of the catalyst, which then could remarkably improve the performance of the catalyst in methanol electro-oxidation. As a result, Pt/MnO2/CNTs show higher electrochemical active surface area and better methanol electro-oxidation activity, compared with Pt/CNTs. As PtRu alloy nanoparticles were deposited on the surface of MnO2/CNTs instead of Pt, the PtRu/MnO2/CNT catalyst shows not only excellent electro-oxidation activity to methanol with forward anodic peak current density of 901 A/gPt but also good CO oxidation ability with lower preadsorbed CO oxidation onset potential (0.33 V vs Ag/AgCl) and peak potential (0.49 V vs Ag/AgCl) at room temperature.

  5. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  6. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  7. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  8. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    Science.gov (United States)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  9. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  10. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  11. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  12. NO{sub x} reduction by coke deposited on Pt/Al{sub 2}O{sub 3} in an oxidizing medium; Elimination des NO{sub x} par le coke depose sur Pt/Al{sub 2}O{sub 3} en milieu oxydant

    Energy Technology Data Exchange (ETDEWEB)

    Lunati, S

    1997-12-12

    NO{sub x} reduction by coke deposited on Pt/Al{sub 2}O{sub 3} was studied in excess oxygen. Continuous analysis of the effluent was performed with a mass spectrometer. The coking reaction was carried out under reducing conditions with two different coking agents: cyclopentane and heptanal. In general, NO{sub x} reduction by coke deposited on Pt/Al{sub 2}O{sub 3} occurs in a wide temperature range (200 deg Cdeposits. Thereby, a coke made from heptanal which contains oxygenated functions (carbonyl and hydroxyl groups) within the carbon structure, is more selective and reduce NO{sub x} at lower temperature than a coke made from cyclopentane which does not contain these functional groups. Based on our results, we proposed a `dual-site` reaction for NO{sub x} reduction on coked Pt/Al{sub 2}O{sub 3} catalyst. Platinum allows O{sub 2} activation by dissociative adsorption, and NO{sub 2} formation by oxidation of NO. NO{sub x} reduction occurs on carbon deposits. Reaction between NO{sub x} and coke leads to the formation of N-containing species which are decomposed in CI{sub 2}, H{sub 2}O, N{sub 2} and N{sub 2}O by reaction with activated O{sub 2}. (author) 205 refs.

  13. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    Energy Technology Data Exchange (ETDEWEB)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D. [Department of Material Science and Engineering, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Ankonina, G. [Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Photovoltaic Laboratory, Technion, Haifa 3200 (Israel)

    2015-10-07

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology.

  14. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    International Nuclear Information System (INIS)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G.; Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J.; Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D.; Ankonina, G.

    2015-01-01

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology

  15. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  16. Control of microstructure in soldered, brazed, welded, plated, cast or vapor deposited manufactured components

    Science.gov (United States)

    Ripley, Edward B.; Hallman, Russell L.

    2015-11-10

    Disclosed are methods and systems for controlling of the microstructures of a soldered, brazed, welded, plated, cast, or vapor deposited manufactured component. The systems typically use relatively weak magnetic fields of either constant or varying flux to affect material properties within a manufactured component, typically without modifying the alloy, or changing the chemical composition of materials or altering the time, temperature, or transformation parameters of a manufacturing process. Such systems and processes may be used with components consisting of only materials that are conventionally characterized as be uninfluenced by magnetic forces.

  17. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  18. Use of Hydrogen Chemisorption and Ethylene Hydrogenation as Predictors for Aqueous Phase Reforming of Lactose over Ni@Pt and Co@Pt Bimetallic Overlayer Catalysts

    Energy Technology Data Exchange (ETDEWEB)

    Lai, Qinghua; Skoglund, Michael D.; Zhang, Chen; Morris, Allen R.; Holles, Joseph H.

    2016-10-20

    Overlayer Pt on Ni (Ni@Pt) or Co (Co@Pt) were synthesized and tested for H2 generation from APR of lactose. H2 chemisorption descriptor showed that Ni@Pt and Co@Pt overlayer catalysts had reduced H2 adsorption strength compared to a Pt only catalyst, which agree with computational predictions. The overlayer catalysts also demonstrated lower activity for ethylene hydrogenation than the Pt only catalyst, which likely resulted from decreased H2 binding strength decreasing the surface coverage of H2. XAS results showed that overlayer catalysts exhibited higher white line intensity than the Pt catalyst, which indicates a negative d-band shift for the Pt overlayer, further providing evidence for overlayer formation. Lactose APR studies showed that lactose can be used as feedstock to produce H2 and CO under desirable reaction conditions. The Pt active sites of Ni@Pt and Co@Pt overlayer catalysts showed significantly enhanced H2 production selectivity and activity when compared with that of a Pt only catalyst. The single deposition overlayer with the largest d-band shift showed the highest H2 activity. The results suggest that overlayer formation using directed deposition technique could modify the behavior of the surface metal and ultimately modify the APR activity.

  19. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  20. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  1. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  2. Sintering of Pt nanoparticles via volatile PtO_2: Simulation and comparison with experiments

    International Nuclear Information System (INIS)

    Plessow, Philipp N.; Abild-Pedersen, Frank

    2016-01-01

    It is a longstanding question whether sintering of platinum under oxidizing conditions is mediated by surface migration of Pt species or through the gas phase, by PtO_2(g). Clearly, a rational approach to avoid sintering requires understanding the underlying mechanism. A basic theory for the simulation of ripening through the vapor phase has been derived by Wynblatt and Gjostein. Recent modeling efforts, however, have focused entirely on surface-mediated ripening. In this work, we explicitly model ripening through PtO_2(g) and study how oxygen pressure, temperature, and shape of the particle size distribution affect sintering. On the basis of the available data on α-quartz, adsorption of monomeric Pt species on the support is extremely weak and has therefore not been explicitly simulated, while this may be important for more strongly interacting supports. Our simulations clearly show that ripening through the gas phase is predicted to be relevant. Assuming clean Pt particles, sintering is generally overestimated. This can be remedied by explicitly including oxygen coverage effects that lower both surface free energies and the sticking coefficient of PtO_2(g). Additionally, mass-transport limitations in the gas phase may play a role. Using a parameterization that accounts for these effects, we can quantitatively reproduce a number of experiments from the literature, including pressure and temperature dependence. Lastly, this substantiates the hypothesis of ripening via PtO_2(g) as an alternative to surface-mediated ripening.

  3. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  4. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  5. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  6. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  7. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  8. The tunable plasma synthesis of Pt-reduced graphene oxide nanocomposites

    Directory of Open Access Journals (Sweden)

    Yulong Ma

    2017-06-01

    Full Text Available Herein, we have developed Pt-plasma reduced graphene oxide (Pt/P-rGO catalysts displaying high overpotentials for methanol oxidation reaction (MOR through facile and tunable plasma treatments. We provide insight into the improved performance of these catalysts by combining electrochemical measurements with microscopic and spectroscopic characterization techniques. The analysis results showed that the Pt nanoparticles (NPs were successfully deposited on P-rGO. The deposition and uniformity of Pt NPs were influenced by tuning the discharge power of the plasma. The catalytic performance towards the methanol oxidation reaction is investigated. The Pt/P-rGO NPs composites under 100 W show the best electrocatalytic activity. These results were vital to the further application of graphene-based metal nanocomposites synthesized by plasma technology.

  9. Very high coercivities of top-layer diffusion Au/FePt thin films

    International Nuclear Information System (INIS)

    Yuan, F.T.; Chen, S.K.; Liao, W.M.; Hsu, C.W.; Hsiao, S.N.; Chang, W.C.

    2006-01-01

    The Au/FePt samples were prepared by depositing a gold cap layer at room temperature onto a fully ordered FePt layer, followed by an annealing at 800 deg. C for the purpose of interlayer diffusion. After the deposition of the gold layer and the high-temperature annealing, the gold atoms do not dissolve into the FePt Ll 0 lattice. Compared with the continuous FePt film, the TEM photos of the bilayer Au(60 nm)/FePt(60 nm) show a granular structure with FePt particles embedded in Au matrix. The coercivity of Au(60 nm)/FePt(60 nm) sample is 23.5 kOe, which is 85% larger than that of the FePt film without Au top layer. The enhancement in coercivity can be attributed to the formation of isolated structure of FePt ordered phase

  10. Optimization of Strontium Titanate (SrTiO3) Thin Films Fabricated by Metal Organic Chemical Vapor Deposition (MOCVD) for Microwave-Tunable Devices

    Science.gov (United States)

    2015-12-01

    characteristics . Our work demonstrated a significant increase in the quality of the optimized STO thin films with respect to STO films grown prior to the MOCVD...deposition, the reactor and precursor supply lines were baked at 250 °C for at least 4 h with a total Ar carrier gas flow of 5,000 sccm to remove...S. Thermal leakage characteristics of Pt/SrTiO3/Pt structures. Journal of Vacuum Science & Technology A. 2008;26:555–557. 31. Ryen L, Olsson E

  11. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  12. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  14. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  15. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  16. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  17. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  18. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  19. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  20. Estimation of the vaporization heat of organic liquids. Pt. 3

    International Nuclear Information System (INIS)

    Ducros, M.; Sannier, H.

    1982-01-01

    In our previous publications it has been shown that the method of Benson's group permits the estimation of the enthalpies of vaporization of organic compounds. In the present paper we have applied this method for unsaturated hydrocarbons, thus completing our previous work on acyclic alkenes. For the alkylbenzenes we have changed the values of the groups C-(Csub(b))(C)(H) 2 and C-(Csub(b))(C) 2 (H) previously determined. A more accurate value for the enthalpies of vaporization of the alkylbenzenes of higher molecular weight is obtained. (orig.)

  1. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  2. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    International Nuclear Information System (INIS)

    Li Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-01-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al 2 O 3 , ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al 2 O 3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  3. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  4. Nucleation and growth mechanism of Co–Pt alloy nanowires electrodeposited within alumina template

    Energy Technology Data Exchange (ETDEWEB)

    Srivastav, Ajeet K., E-mail: srivastav.ajeet.kumar@gmail.com, E-mail: mm09d004@smail.iitm.ac.in [Indian Institute of Technology Madras, Department of Metallurgical and Materials Engineering (India); Shekhar, Rajiv [Indian Institute of Technology Kanpur, Department of Materials Science and Engineering (India)

    2015-01-15

    Co–Pt alloy nanowires were electrodeposited by direct current electrodeposition within nanoporous alumina templates with varying deposition potentials. The effect of deposition potential on nucleation and growth mechanisms during electrodeposition of Co–Pt alloy nanowires was investigated. The less negative deposition potential (−0.9 V) favours the instantaneous nucleation mechanism. The positive deviation from theoretical instantaneous and progressive nucleation mechanisms occurs at higher negative deposition potentials. The hysteresis behaviour and magnetic properties of electrodeposited Co–Pt alloy nanowires altered with varying deposition potential. The easy magnetization direction was in direction perpendicular to the wire axis. The deposition potential dependent change in hysteresis behaviour with increased coercivity and scattered remanence ratio was observed. This is attributed to better crystallinity with reduced defect density and hydrogen evolution causing structural changes at more negative deposition potentials.

  5. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  6. Single and Double Infrared Transitions in Rapid Vapor Deposited Parahydrogen Solids: Application to Sample Thickness Determination and Quantitative Infrared Absorption Spectroscopy

    National Research Council Canada - National Science Library

    Tam, Simon

    2001-01-01

    ...) solid from its infrared (IR) absorption spectrum. Millimeters-thick pH2 solids of exceptional optical clarity can be produced by the rapid vapor deposition method M.E. Fajardo and S. Tam, J. Chem. Phys. 108, 4237 (1998...

  7. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  8. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  9. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  10. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  11. Evaluation of H{sub 2}O{sub 2}-generation during oxygen reduction at electrodeposited Pt particles on mask scratched electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kishi, Akira; Inoue, Mitsuhiro; Umeda, Minoru, E-mail: mumeda@vos.nagaokaut.ac.jp

    2013-08-15

    In this study, the Pt particle deposition was systematically performed by our proposed mask scratch and subsequent Pt electrodeposition in order to investigate the H{sub 2}O{sub 2}-byproduct generation efficiency during O{sub 2} reduction. By peeling a part of polymer layer coated on a glassy carbon substrate using an atomic force microscope cantilever, scratched areas are regularly made. The Pt particles are deposited only on the above-mentioned scratched areas, indicating that the controlled Pt deposition has been achieved. The background cyclic voltammetry of the prepared electrodes showed that the deposited nanoparticles are certainly composed of Pt. Moreover, the electrochemical surface area of the deposited Pt (Pt-ESA) linearly increases with the increasing scratched area, revealing that the Pt-ESAs can be controlled by the mask scratch-based Pt electrodeposition method. It should be noted that an increase in the Pt-ESA not only increases the O{sub 2} reduction currents, but also enhances the H{sub 2}O{sub 2} generation efficiency.

  12. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  13. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-04-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature.

  14. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  15. Communication: Disorder-suppressed vibrational relaxation in vapor-deposited high-density amorphous ice

    Science.gov (United States)

    Shalit, Andrey; Perakis, Fivos; Hamm, Peter

    2014-04-01

    We apply two-dimensional infrared spectroscopy to differentiate between the two polyamorphous forms of glassy water, low-density (LDA) and high-density (HDA) amorphous ices, that were obtained by slow vapor deposition at 80 and 11 K, respectively. Both the vibrational lifetime and the bandwidth of the 1-2 transition of the isolated OD stretch vibration of HDO in H2O exhibit characteristic differences when comparing hexagonal (Ih), LDA, and HDA ices, which we attribute to the different local structures - in particular the presence of interstitial waters in HDA ice - that cause different delocalization lengths of intermolecular phonon degrees of freedom. Moreover, temperature dependent measurements show that the vibrational lifetime closely follows the structural transition between HDA and LDA phases.

  16. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  17. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  18. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  19. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  20. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  1. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  2. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  3. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  4. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  5. Hetero- and homogeneous three-dimensional hierarchical tungsten oxide nanostructures by hot-wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Houweling, Z.S., E-mail: Silvester.Houweling@asml.com [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Harks, P.-P.R.M.L.; Kuang, Y.; Werf, C.H.M. van der [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Geus, J.W. [Utrecht University, Inorganic Chemistry and Catalysis, Padualaan 8, 3584 CH Utrecht (Netherlands); Schropp, R.E.I. [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands)

    2015-01-30

    We present the synthesis of three-dimensional tungsten oxide (WO{sub 3−x}) nanostructures, called nanocacti, using hot-wire chemical vapor deposition. The growth of the nanocacti is controlled through a succession of oxidation, reduction and re-oxidation processes. By using only a resistively heated W filament, a flow of ambient air and hydrogen at subatmospheric pressure, and a substrate heated to about 700 °C, branched nanostructures are deposited. We report three varieties of simple synthesis approaches to obtain hierarchical homo- and heterogeneous nanocacti. Furthermore, by using catalyst nanoparticles site-selection for the growth is demonstrated. The atomic, morphological and crystallographic compositions of the nanocacti are determined using a combination of electron microscopy techniques, energy-dispersive X-ray spectroscopy and electron diffraction. - Highlights: • Continuous upscalable hot-wire CVD of 3D hierarchical nanocacti • Controllable deposition of homo- and heterogeneous WO{sub 3−x}/WO{sub 3−y} nanocacti • Introduction of three synthesis routes comprising oxidation, reduction and re-oxidation processes • Growth of periodic arrays of hetero- and homogeneous hierarchical 3D nanocacti.

  6. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  7. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  8. Preparation and Thermoelectric Characteristics of ITO/PtRh:PtRh Thin Film Thermocouple.

    Science.gov (United States)

    Zhao, Xiaohui; Wang, Hongmin; Zhao, Zixiang; Zhang, Wanli; Jiang, Hongchuan

    2017-12-15

    Thin film thermocouples (TFTCs) can provide more precise in situ temperature measurement for aerospace propulsion systems without disturbance of gas flow and surface temperature distribution of the hot components. ITO/PtRh:PtRh TFTC with multilayer structure was deposited on alumina ceramic substrate by magnetron sputtering. After annealing, the TFTC was statically calibrated for multiple cycles with temperature up to 1000 °C. The TFTC with excellent stability and repeatability was realized for the negligible variation of EMF in different calibration cycles. It is believed that owing to oxygen diffusion barriers by the oxidation of top PtRh layer and Schottky barriers formed at the grain boundaries of ITO, the variation of the carrier concentration of ITO film is minimized. Meanwhile, the life time of TFTC is more than 30 h in harsh environment. This makes ITO/PtRh:PtRh TFTC a promising candidate for precise surface temperature measurement of hot components of aeroengines.

  9. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  10. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  11. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  12. PLD prepared nanostructured Pt-CeO{sub 2} thin films containing ionic platinum

    Energy Technology Data Exchange (ETDEWEB)

    Vorokhta, M., E-mail: vorohtam@gmail.com [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Khalakhan, I.; Matolínová, I.; Nováková, J.; Haviar, S. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Lančok, J.; Novotný, M. [Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague, Czhech Republic (Czech Republic); Yoshikawa, H. [National Institute for Materials Science, Sengen 1-2-1, Tsukuba, Ibaraki 305-0047 (Japan); Matolín, V. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic)

    2017-02-28

    Highlights: • Nanostructured Pt-CeO{sub 2} thin catalyst films were grown on plasma etched and non-etched carbon substrates by pulsed laser deposition. • The surface composition of the nanostructured Pt-CeO{sub 2} films was investigated by surface analysis techniques. • The effect of film roughening was separated from the effect of platinum-ceria atomic interactions. - Abstract: The composition of nanostructured Pt-CeO{sub 2} films on graphite substrates prepared by pulsed laser deposition has been investigated by means of hard X-ray photoelectron spectroscopy, scanning electron microscopy, high resolution transmission electron microscopy, and atomic force microscopy. The influence of morphology of the graphite substrates was investigated with respect to the relative concentrations of ionic and metallic Pt species in the films. It was found that the degree of Pt{sup 2+} enrichment is directly related to the surface morphology of graphite substrates. In particular, the deposition of Pt-CeO{sub 2} films on rough graphite substrate etched in oxygen plasma yielded nanostructured Pt-CeO{sub 2} catalyst films with high surface area and high Pt{sup 2+}/Pt{sup 0} ratio. The presented results demonstrate that PLD is a suitable method for the preparation of thin Pt-CeO{sub 2} catalyst films for fuel cell applications.

  13. Ternary Pt-Ru-Ni catalytic layers for methanol electrooxidation prepared by electrodeposition and galvanic replacement

    Directory of Open Access Journals (Sweden)

    Athanasios ePapaderakis

    2014-06-01

    Full Text Available Ternary Pt-Ru-Ni deposits on glassy carbon substrates, Pt-Ru(Ni/GC, have been formed by initial electrodeposition of Ni layers onto glassy carbon electrodes, followed by their partial exchange for Pt and Ru, upon their immersion into equimolar solutions containing complex ions of the precious metals. The overall morphology and composition of the deposits has been studied by SEM microscopy and EDS spectroscopy. Continuous but nodular films have been confirmed, with a Pt÷Ru÷Ni % bulk atomic composition ratio of 37÷12÷51 (and for binary Pt-Ni control systems of 47÷53. Fine topographical details as well as film thickness have been directly recorded using AFM microscopy. The composition of the outer layers as well as the interactions of the three metals present have been studied by XPS spectroscopy and a Pt÷Ru÷Ni % surface atomic composition ratio of 61÷12÷27 (and for binary Pt-Ni control systems of 85÷15 has been found, indicating the enrichment of the outer layers in Pt; a shift of the Pt binding energy peaks to higher values was only observed in the presence of Ru and points to an electronic effect of Ru on Pt. The surface electrochemistry of the thus prepared Pt-Ru(Ni/GC and Pt(Ni/GC electrodes in deaerated acid solutions (studied by cyclic voltammetry proves the existence of a shell consisting exclusively of Pt-Ru or Pt. The activity of the Pt-Ru(Ni deposits towards methanol oxidation (studied by slow potential sweep voltammetry is higher from that of the Pt(Ni deposit and of pure Pt; this enhancement is attributed both to the well-known Ru synergistic effect due to the presence of its oxides but also (based on the XPS findings to a modification effect of Pt electronic properties.

  14. Effects of the Electrodeposition Time in the Synthesis of Carbon-Supported Pt(Cu and Pt-Ru(Cu Core-Shell Electrocatalysts for Polymer Electrolye Fuel Cells

    Directory of Open Access Journals (Sweden)

    Griselda Caballero-Manrique

    2016-08-01

    Full Text Available Pt(Cu/C and Pt-Ru(Cu/C electrocatalysts with core-shell structure supported on Vulcan Carbon XC72R have been synthesized by potentiostatic deposition of Cu nanoparticles on the support, galvanic exchange with Pt and spontaneous deposition of Ru species. The duration of the electrodeposition time of the different species has been modified and the obtained electrocatalysts have been characterized using electrochemical and structural techniques. The High Resolution Transmission Electron Microscopy (HRTEM, Fast Fourier Transform (FFT and Energy Dispersive X-ray (EDX microanalyses allowed the determining of the effects of the electrodeposition time on the nanoparticle size and composition. The best conditions identified from Cyclic Voltammetry (CV corresponded to onset potentials for CO and methanol oxidation on Pt-Ru(Cu/C of 0.41 and 0.32 V vs. the Reversible Hydrogen Electrode (RHE, respectively, which were smaller by about 0.05 V than those determined for Ru-decorated commercial Pt/C. The CO oxidation peak potentials were about 0.1 V smaller when compared to commercial Pt/C and Pt-Ru/C. The positive effect of Cu was related to its electronic effect on the Pt shells and also to the generation of new active sites for CO oxidation. The synthesis conditions to obtain the best performance for CO and methanol oxidation on the core-shell Pt-Ru(Cu/C electrocatalysts were identified. When compared to previous results in literature for methanol, ethanol and formic acid oxidation on Pt(Cu/C catalysts, the present results suggest an additional positive effect of the deposited Ru species due to the introduction of the bifunctional mechanism for CO oxidation.

  15. Metallic Contact Formation for Molecular Electronics : Interactions between Vapor-Deposited Metals and Self-Assembled Monolayers of Conjugated Mono- and Dithiols

    NARCIS (Netherlands)

    Boer, Bert de; Frank, Martin M.; Chabal, Yves J.; Jiang, Weirong; Garfunkel, Eric; Bao, Zhenan

    2004-01-01

    We present grazing-incidence Fourier transform infrared and AFM data of Au, Al, and Ti vapor-deposited onto self-assembled monolayers (SAMs) of conjugated mono- and dithiols. SAMs of 4,4'''-dimercapto-p-quaterphenyl, 4,4''-dimercapto-p-terphenyl, and 4,4'-dimercapto-p-biphenyl have reactive thiols

  16. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  17. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  18. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  19. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  20. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.