WorldWideScience

Sample records for vapor deposited silicone

  1. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  2. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  3. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  4. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  5. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  6. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  7. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  8. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  9. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  10. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  11. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  12. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  13. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  14. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  16. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  17. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  18. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  19. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  20. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  1. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  2. Impact of microcrystalline silicon carbide growth using hot-wire chemical vapor deposition on crystalline silicon surface passivation

    International Nuclear Information System (INIS)

    Pomaska, M.; Beyer, W.; Neumann, E.; Finger, F.; Ding, K.

    2015-01-01

    Highly crystalline microcrystalline silicon carbide (μc-SiC:H) with excellent optoelectronic material properties is a promising candidate as highly transparent doped layer in silicon heterojunction (SHJ) solar cells. These high quality materials are usually produced using hot wire chemical vapor deposition under aggressive growth conditions giving rise to the removal of the underlying passivation layer and thus the deterioration of the crystalline silicon (c-Si) surface passivation. In this work, we introduced the n-type μc-SiC:H/n-type μc-SiO x :H/intrinsic a-SiO x :H stack as a front layer configuration for p-type SHJ solar cells with the μc-SiO x :H layer acting as an etch-resistant layer against the reactive deposition conditions during the μc-SiC:H growth. We observed that the unfavorable expansion of micro-voids at the c-Si interface due to the in-diffusion of hydrogen atoms through the layer stack might be responsible for the deterioration of surface passivation. Excellent lifetime values were achieved under deposition conditions which are needed to grow high quality μc-SiC:H layers for SHJ solar cells. - Highlights: • High surface passivation quality was preserved after μc-SiC:H deposition. • μc-SiC:H/μc-SiO x :H/a-SiO x :H stack a promising front layer configuration • Void expansion at a-SiO x :H/c-Si interface for deteriorated surface passivation • μc-SiC:H provides a high transparency and electrical conductivity.

  3. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  4. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  5. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  6. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  7. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  8. Microspheres for the Growth of Silicon Nanowires via Vapor-Liquid-Solid Mechanism

    Directory of Open Access Journals (Sweden)

    Arancha Gómez-Martínez

    2014-01-01

    Full Text Available Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. The resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  9. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  10. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  11. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  12. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  13. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  14. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  15. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  16. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  17. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  18. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  19. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. Aceves-Mijares

    2012-01-01

    Full Text Available Silicon Rich Oxide (SRO has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD. In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept that SRO emission properties are due to oxidation state nanoagglomerates rather than to nanocrystals. The emission mechanism is similar to Donor-Acceptor decay in semiconductors, and a wide emission spectrum, from 450 to 850 nm, has been observed. The results show that emission is a function of both silicon excess in the film and excitation energy. As a result different color emissions can be obtained by selecting the suitable excitation energy.

  20. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  1. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  2. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  3. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  4. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  5. Silicon-substituted hydroxyapatite coating with Si content on the nanotube-formed Ti–Nb–Zr alloy using electron beam-physical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Yong-Hoon; Choe, Han-Cheol; Brantley, William A.

    2013-01-01

    The purpose of this study was to investigate the electrochemical characteristics of silicon-substituted hydroxyapatite coatings on the nanotube-formed Ti–35Nb–10Zr alloy. The silicon-substituted hydroxyapatite (Si–HA) coatings on the nanotube structure were deposited by electron beam-physical vapor deposition and anodization methods, and biodegradation properties were analyzed by potentiodynamic polarization and electrochemical impedance spectroscopy measurement. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction (XRD). The Si–HA layers were deposited with rough features having highly ordered nanotube structures on the titanium alloy substrate. The thickness of the Si–HA coating was less than that of the HA coating. The XRD results confirmed that the Si–HA coating on the nanotube structure consisted of TiO 2 anatase, TiO 2 rutile, hydroxyapatite, and calcium phosphate silicate. The Si–HA coating surface exhibited lower I corr than the HA coating, and the polarization resistance was increased by substitution of silicon in hydroxyapatite. - Highlights: • Silicon substituted hydroxyapatite (Si–HA) was coated on nanotubular titanium alloy. • The Si–HA coating thickness was less than single hydroxyapatite (HA) coating. • Si–HA coatings consisted of TiO 2 , HA, and Ca 5 (PO 4 ) 2 SiO 4 . • Polarization resistance of the coating was increased by Si substitution in HA

  6. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  7. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  8. Silicon-substituted hydroxyapatite coating with Si content on the nanotube-formed Ti–Nb–Zr alloy using electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Yong-Hoon [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, 305 W. 12th Ave., Columbus, OH (United States); Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Brantley, William A. [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, 305 W. 12th Ave., Columbus, OH (United States)

    2013-11-01

    The purpose of this study was to investigate the electrochemical characteristics of silicon-substituted hydroxyapatite coatings on the nanotube-formed Ti–35Nb–10Zr alloy. The silicon-substituted hydroxyapatite (Si–HA) coatings on the nanotube structure were deposited by electron beam-physical vapor deposition and anodization methods, and biodegradation properties were analyzed by potentiodynamic polarization and electrochemical impedance spectroscopy measurement. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction (XRD). The Si–HA layers were deposited with rough features having highly ordered nanotube structures on the titanium alloy substrate. The thickness of the Si–HA coating was less than that of the HA coating. The XRD results confirmed that the Si–HA coating on the nanotube structure consisted of TiO{sub 2} anatase, TiO{sub 2} rutile, hydroxyapatite, and calcium phosphate silicate. The Si–HA coating surface exhibited lower I{sub corr} than the HA coating, and the polarization resistance was increased by substitution of silicon in hydroxyapatite. - Highlights: • Silicon substituted hydroxyapatite (Si–HA) was coated on nanotubular titanium alloy. • The Si–HA coating thickness was less than single hydroxyapatite (HA) coating. • Si–HA coatings consisted of TiO{sub 2}, HA, and Ca{sub 5}(PO{sub 4}){sub 2}SiO{sub 4}. • Polarization resistance of the coating was increased by Si substitution in HA.

  9. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  10. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  11. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  12. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  13. Limiting Size of Monolayer Graphene Flakes Grown on Silicon Carbide or via Chemical Vapor Deposition on Different Substrates

    Science.gov (United States)

    Alekseev, N. I.

    2018-05-01

    The maximum size of homogeneous monolayer graphene flakes that form during the high-temperature evaporation of silicon from a surface of SiC or during graphene synthesis via chemical vapor deposition is estimated, based on the theoretical calculations developed in this work. Conditions conducive to the fragmentation of a monolayer graphene sheet to form discrete fragments or terrace-type structures in which excess energy due to dangling bonds at the edges is compensated for by the lack of internal stress are indentified and described. The results from calculations for the sizes of graphene structures are compared with experimental findings for the most successful graphene syntheses reported in the literature.

  14. Effect of ultraviolet illumination and ambient gases on the photoluminescence and electrical properties of nanoporous silicon layer for organic vapor sensor.

    Science.gov (United States)

    Atiwongsangthong, Narin

    2012-08-01

    The purpose of this research, the nanoporous silicon layer were fabricated and investigated the physical properties such as photoluminescence and the electrical properties in order to develop organic vapor sensor by using nanoporous silicon. The Changes in the photoluminescence intensity of nanoporous silicon samples are studied during ultraviolet illumination in various ambient gases such as nitrogen, oxigen and vacuum. In this paper, the nanoporous silicon layer was used as organic vapor adsorption and sensing element. The advantage of this device are simple process compatible in silicon technology and usable in room temperature. The structure of this device consists of nanoporous silicon layer which is formed by anodization of silicon wafer in hydrofluoric acid solution and aluminum electrode which deposited on the top of nanoporous silicon layer by evaporator. The nanoporous silicon sensors were placed in a gas chamber with various organic vapor such as ethanol, methanol and isopropyl alcohol. From studying on electrical characteristics of this device, it is found that the nanoporous silicon layer can detect the different organic vapor. Therefore, the nanoporous silicon is important material for organic vapor sensor and it can develop to other applications about gas sensors in the future.

  15. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  16. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  17. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  18. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  19. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  20. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  1. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  2. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  3. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  4. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  5. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  6. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  7. High temperature and low pressure chemical vapor deposition of silicon nitride on AlGaN: Band offsets and passivation studies

    Energy Technology Data Exchange (ETDEWEB)

    Reddy, Pramod; Washiyama, Shun; Kaess, Felix; Hernandez-Balderrama, Luis H.; Haidet, Brian B.; Alden, Dorian; Franke, Alexander; Sarkar, Biplab; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695-7919 (United States); Hayden Breckenridge, M. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695-7919 (United States); REU, Physics Department at Wofford College, Spartanburg, South Carolina 29303 (United States)

    2016-04-14

    In this work, we employed X-ray photoelectron spectroscopy to determine the band offsets and interface Fermi level at the heterojunction formed by stoichiometric silicon nitride deposited on Al{sub x}Ga{sub 1-x}N (of varying Al composition “x”) via low pressure chemical vapor deposition. Silicon nitride is found to form a type II staggered band alignment with AlGaN for all Al compositions (0 ≤ x ≤ 1) and present an electron barrier into AlGaN even at higher Al compositions, where E{sub g}(AlGaN) > E{sub g}(Si{sub 3}N{sub 4}). Further, no band bending is observed in AlGaN for x ≤ 0.6 and a reduced band bending (by ∼1 eV in comparison to that at free surface) is observed for x > 0.6. The Fermi level in silicon nitride is found to be at 3 eV with respect to its valence band, which is likely due to silicon (≡Si{sup 0/−1}) dangling bonds. The presence of band bending for x > 0.6 is seen as a likely consequence of Fermi level alignment at Si{sub 3}N{sub 4}/AlGaN hetero-interface and not due to interface states. Photoelectron spectroscopy results are corroborated by current-voltage-temperature and capacitance-voltage measurements. A shift in the interface Fermi level (before band bending at equilibrium) from the conduction band in Si{sub 3}N{sub 4}/n-GaN to the valence band in Si{sub 3}N{sub 4}/p-GaN is observed, which strongly indicates a reduction in mid-gap interface states. Hence, stoichiometric silicon nitride is found to be a feasible passivation and dielectric insulation material for AlGaN at any composition.

  8. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  9. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  10. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  11. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  12. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  13. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  14. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  15. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  16. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  17. Vapor Pressure and Evaporation Coefficient of Silicon Monoxide over a Mixture of Silicon and Silica

    Science.gov (United States)

    Ferguson, Frank T.; Nuth, Joseph A., III

    2012-01-01

    The evaporation coefficient and equilibrium vapor pressure of silicon monoxide over a mixture of silicon and vitreous silica have been studied over the temperature range (1433 to 1608) K. The evaporation coefficient for this temperature range was (0.007 plus or minus 0.002) and is approximately an order of magnitude lower than the evaporation coefficient over amorphous silicon monoxide powder and in general agreement with previous measurements of this quantity. The enthalpy of reaction at 298.15 K for this reaction was calculated via second and third law analyses as (355 plus or minus 25) kJ per mol and (363.6 plus or minus 4.1) kJ per mol respectively. In comparison with previous work with the evaporation of amorphous silicon monoxide powder as well as other experimental measurements of the vapor pressure of silicon monoxide gas over mixtures of silicon and silica, these systems all tend to give similar equilibrium vapor pressures when the evaporation coefficient is correctly taken into account. This provides further evidence that amorphous silicon monoxide is an intimate mixture of small domains of silicon and silica and not strictly a true compound.

  18. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  19. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  20. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  1. Structural and spectroscopic analysis of hot filament decomposed ethylene deposited at low temperature on silicon surface

    International Nuclear Information System (INIS)

    Tung, F.-K.; Perevedentseva, E.; Chou, P.-W.; Cheng, C.-L.

    2005-01-01

    The deposition of decomposed ethylene on silicon wafer at lower temperature using hot filament chemical vapor deposition (HFCVD) method was applied to compose thin film of carbon and its compounds with silicon and hydrocarbon structures. The films were analyzed using Raman spectroscopy, X-ray diffraction, and scanning electron microscopy with elemental microanalysis by energy dispersive X-ray spectrometer. The structure and morphology of the early stage of the film deposition was analyzed. The obtaining of SiC as well as diamond-like structure with this method and catalytic influence of chemical admixtures on the film structure and properties are discussed

  2. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    Science.gov (United States)

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  3. Rapid Chemical Vapor Infiltration of Silicon Carbide Minicomposites at Atmospheric Pressure.

    Science.gov (United States)

    Petroski, Kenneth; Poges, Shannon; Monteleone, Chris; Grady, Joseph; Bhatt, Ram; Suib, Steven L

    2018-02-07

    The chemical vapor infiltration technique is one of the most popular for the fabrication of the matrix portion of a ceramic matrix composite. This work focuses on tailoring an atmospheric pressure deposition of silicon carbide onto carbon fiber tows using the methyltrichlorosilane (CH 3 SiCl 3 ) and H 2 deposition system at atmospheric pressure to create minicomposites faster than low pressure systems. Adjustment of the flow rate of H 2 bubbled through CH 3 SiCl 3 will improve the uniformity of the deposition as well as infiltrate the substrate more completely as the flow rate is decreased. Low pressure depositions conducted at 50 Torr deposit SiC at a rate of approximately 200 nm*h -1 , while the atmospheric pressure system presented has a deposition rate ranging from 750 nm*h -1 to 3.88 μm*h -1 . The minicomposites fabricated in this study had approximate total porosities of 3 and 6% for 10 and 25 SCCM infiltrations, respectively.

  4. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  5. High Temperature Corrosion of Silicon Carbide and Silicon Nitride in Water Vapor

    Science.gov (United States)

    Opila, E. J.; Robinson, Raymond C.; Cuy, Michael D.; Gray, Hugh R. (Technical Monitor)

    2002-01-01

    Silicon carbide (SiC) and silicon nitride (Si3N4) are proposed for applications in high temperature combustion environments containing water vapor. Both SiC and Si3N4 react with water vapor to form a silica (SiO2) scale. It is therefore important to understand the durability of SiC, Si3N4 and SiO2 in water vapor. Thermogravimetric analyses, furnace exposures and burner rig results were obtained for these materials in water vapor at temperatures between 1100 and 1450 C and water vapor partial pressures ranging from 0.1 to 3.1 atm. First, the oxidation of SiC and Si3N4 in water vapor is considered. The parabolic kinetic rate law, rate dependence on water vapor partial pressure, and oxidation mechanism are discussed. Second, the volatilization of silica to form Si(OH)4(g) is examined. Mass spectrometric results, the linear kinetic rate law and a volatilization model based on diffusion through a gas boundary layer are discussed. Finally, the combined oxidation and volatilization reactions, which occur when SiC or Si3N4 are exposed in a water vapor-containing environment, are presented. Both experimental evidence and a model for the paralinear kinetic rate law are shown for these simultaneous oxidation and volatilization reactions.

  6. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  7. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  8. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  9. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  10. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  11. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  12. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  13. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  14. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  15. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  16. The influence of charge effect on the growth of hydrogenated amorphous silicon by the hot-wire chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Q.; Nelson, B.P.; Iwaniczko, E.; Mahan, A.H.; Crandall, R.S.; Benner, J. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    The authors observe at lower substrate temperatures that the scatter in the dark conductivity on hydrogenated amorphous silicon (a-Si:H) films grown on insulating substrates (e.g., Corning 7059 glass) by the hot-wire chemical vapor deposition technique (HWCVD) can be five orders of magnitude or more. This is especially true at deposition temperatures below 350 C. However, when the authors grow the same materials on substrates with a conductive grid, virtually all of their films have acceptable dark conductivity (< 5 {times} 10{sup {minus}10} S/cm) at all deposition temperatures below 425 C. This is in contrast to only about 20% of the materials grown in this same temperature range on insulating substrates having an acceptable dark conductivity. The authors estimated an average energy of 5 eV electrons reaching the growing surface in vacuum, and did additional experiments to see the influence of both the electron flux and the energy of the electrons on the film growth. Although these effects do not seem to be important for growing a-Si:H by HWCVD on conductive substrates, they help better understand the important parameters for a-Si:H growth, and thus, to optimize these parameters in other applications of HWCVD technology.

  17. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  18. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  19. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  20. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  1. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  2. Process control of high rate microcrystalline silicon based solar cell deposition by optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kilper, T.; Donker, M.N. van den; Carius, R.; Rech, B.; Braeuer, G.; Repmann, T.

    2008-01-01

    Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 x 30 cm 2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH * and H β emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH 4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control

  3. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  4. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  5. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  6. UV Laser Deposition of Nanostructured Si/C/O/N/H Precursor to Silicon Oxycarbonitride

    Czech Academy of Sciences Publication Activity Database

    Pola, Josef; Galíková, Anna; Bastl, Zdeněk; Šubrt, Jan; Vacek, Karel; Brus, Jiří; Ouchi, A.

    2006-01-01

    Roč. 20, č. 10 (2006), s. 648-655 ISSN 0268-2605 R&D Projects: GA MŠk(CZ) ME 684 Institutional research plan: CEZ:AV0Z40720504; CEZ:AV0Z40320502; CEZ:AV0Z40400503; CEZ:AV0Z40500505 Keywords : laser photolysis * silicon oxycarbonitride * chemical vapor deposition Subject RIV: CA - Inorganic Chemistry Impact factor: 1.233, year: 2006

  7. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  8. Amorphous silicon ionizing particle detectors

    Science.gov (United States)

    Street, Robert A.; Mendez, Victor P.; Kaplan, Selig N.

    1988-01-01

    Amorphous silicon ionizing particle detectors having a hydrogenated amorphous silicon (a--Si:H) thin film deposited via plasma assisted chemical vapor deposition techniques are utilized to detect the presence, position and counting of high energy ionizing particles, such as electrons, x-rays, alpha particles, beta particles and gamma radiation.

  9. Study of the fluidized bed chemical vapor deposition process on very dense powder for nuclear applications

    International Nuclear Information System (INIS)

    Vanni, Florence

    2015-01-01

    This thesis is part of the development of low-enriched nuclear fuel, for the Materials Test Reactors (MTRs), constituted of uranium-molybdenum particles mixed with an aluminum matrix. Under certain conditions under irradiations, the U(Mo) particles interact with the aluminum matrix, causing unacceptable swelling of the fuel plate. To inhibit this phenomenon, one solution consists in depositing on the surface of the U(Mo) particles, a thin silicon layer to create a barrier effect. This thesis has concerned the study of the fluidized bed chemical vapor deposition (CVD) process to deposit silicon from silane, on the U(Mo) powder, which has an exceptional density of 17,500 kg/m 3 . To achieve this goal, two axes were treated during the thesis: the study and the optimization of the fluidization of a so dense powder, and then those of the silicon deposition process. For the first axis, a series of tests was performed on a surrogate tungsten powder in different columns made of glass and made of steel with internal diameters ranging from 2 to 5 cm, at room temperature and at high temperature (650 C) close to that of the deposits. These experiments helped to identify wall effects phenomena within the fluidized bed, which can lead to heterogeneous deposits or particles agglomeration. Some dimensions of the fluidization columns and operating conditions allowing a satisfactory fluidization of the powder were identified, paving the way for the study of silicon deposition. Several campaigns of deposition experiments on the surrogate powder and then on the U(Mo) powder were carried out in the second axis of the study. The influence of the bed temperature, the inlet molar fraction of silane diluted in argon, and the total gas flow of fluidization, was examined for different diameters of reactor and for various masses of powder. Morphological and structural characterization analyses (SEM, XRD..) revealed a uniform silicon deposition on all the powder and around each particle

  10. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  11. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  12. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    Energy Technology Data Exchange (ETDEWEB)

    Rull, Jordi [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Nonglaton, Guillaume, E-mail: guillaume.nonglaton@cea.fr [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Costa, Guillaume; Fontelaye, Caroline [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Marchi-Delapierre, Caroline; Ménage, Stéphane [Université Grenoble Alpes, Grenoble F38000 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Marchand, Gilles [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France)

    2015-11-01

    Graphical abstract: - Highlights: • First example of grafting of 3,4-epoxybutyltrimethoxysilane (EBTMOS) onto silicon oxide by supercritical fluid deposition. • Extraordinary efficiency of the supercritical fluid deposition for the grafting of the EBTMOS compared with the conventional solution or vapor phase methodologies. • Demonstration of the efficiency of this functionalization process for the immobilization of amino-modified oligonucleotides. - Abstract: The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO{sub 2}) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric

  13. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  14. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  16. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  17. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  18. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  19. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  20. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  1. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  2. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  3. Tungsten chemical vapor deposition characteristics using SiH4 in a single wafer system

    International Nuclear Information System (INIS)

    Rosler, R.S.; Mendonca, J.; Rice, M.J. Jr.

    1988-01-01

    Several workers have recently begun using silane as a high-rate, low-temperature alternative to hydrogen for the reduction of WF 6 in the chemical vapor deposition of W. The deposition and film characteristics of both selective and blanket W using this new chemistry are explored in a radiantly heated single wafer system using closed-loop temperature control with a thermocouple in direct contact with the backside of the wafer. Selective W deposition rates of up to 1.5 μm/min were measured over the temperature range 250--550 0 C with blanket W rates typically 2--5 x lower. Resistivity is in the 10--15 μΩcm range at 300 0 C for SiH 4 /WF 6 ratios of 0.2 to 1.0, while above 400 0 C the range is 7.5--8.5 μΩcm. Si content in the W films is quite low at 10 16 to 10 17 atoms/cm 3 . Adhesion to silicon is excellent at temperatures of 350 0 C and above. Selective W using SiH 4 reduction for doped silicon contact fill shows none of the consumption or encroachment problems common to H 2 reduction, although selectivity is more sensitive. Contact resistance for p + and n + silicon contacts are comparable to aluminum controls and to previously published data. Blanket deposition into narrow geometries gives ≥0% step coverage and without keyholes in the 250--450 0 C deposition temperature range. For low-SiH 4 flows, deposition at 500 0 C causes small keyholes, while at 550 0 C even larger keyholes result. At higher SiH 4 flows, keyholes are typically not seen from 250 to 550 0 C

  4. Spatial control of direct chemical vapor deposition of graphene on silicon dioxide by directional copper dewetting

    NARCIS (Netherlands)

    van den Beld, Wesley Theodorus Eduardus; van den Berg, Albert; Eijkel, Jan C.T.

    2016-01-01

    In this paper we present a method for the spatial control of direct graphene synthesis onto silicon dioxide by controlled dewetting. The dewetting process is controlled through a combination of using a grooved substrate and conducting copper deposition at an angle. The substrate is then treated

  5. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  6. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  7. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  8. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  9. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    Science.gov (United States)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  10. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  11. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  12. Hot wire chemical vapor deposition: limits and opportunities of protecting the tungsten catalyzer from silicide with a cavity

    International Nuclear Information System (INIS)

    Frigeri, P.A.; Nos, O.; Bengoechea, S.; Frevert, C.; Asensi, J.M.; Bertomeu, J.

    2009-01-01

    Hot Wire Chemical Vapor Deposition (HW-CVD) is one of the most promising techniques for depositing the intrinsic microcrystalline silicon layer for the production of micro-morph solar cells. However, the silicide formation at the colder ends of the tungsten wire drastically reduces the lifetime of the catalyzer, thus limiting its industrial exploitation. A simple but interesting strategy to decrease the silicide formation is to hide the electrical contacts of the catalyzer in a long narrow cavity which reduces the probability of the silane molecules to reach the colder ends of the wire. In this paper, the working mechanism of the cavity is elucidated. Measurements of the thickness profile of the silicon deposited in the internal walls of the cavity have been compared with those predicted using a simple diffusion model based on the assumption of Knudsen flow. A lifetime study of the protected and unprotected wires has been carried out. The different mechanisms which determine the deterioration of the catalyzer have been identified and discussed.

  13. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  14. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  15. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  16. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  17. Phase transition and luminescence properties from vapor etched silicon

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Ben Saad, K.; Bessais, B.

    2006-01-01

    In this work, we present a study on the structure and photoluminescence (PL) properties of a non-conventional ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 (white powder) obtained from HNO 3 /HF chemical vapor etching (CVE) of silicon wafers. The CVE method leads either to the formation of luminescent Porous Silicon (PS) or SiO x /Si-containing (NH 4 ) 2 SiF 6 depending on the experimental conditions. At specific conditions (i.e., HNO 3 / HF volume ratio > 1 / 4), the CVE technique can generate instead of PS, a (NH 4 ) 2 SiF 6 phase where SiO x /Si particles are embedded. The (NH 4 ) 2 SiF 6 marketed powder is not luminescent, while that obtained from silicon vapor-etching presents a noticeable intense and stable photoluminescence (PL), which was found to have mainly two shoulders at 1.98 and 2.1 eV. Two processes have been proposed to explain this PL property. First, the visible luminescence around 1.98 eV would come from silicon nanoparticles embedded in the powder, having a distribution size that does not allow SiO x species to influence their own PL. Second, the PL shoulder around 2.1 eV would originate from small silicon nanoparticles trapped in SiO x features, leading to oxide related states that may trap electrons or excitons, depending on the silicon nanoparticle size, wherein radiative recombination occurs. The PL shoulder could become broader at low temperatures suggesting the existence of radiative recombination in SiO x related defects

  18. Design, development and tests of high-performance silicon vapor chamber

    International Nuclear Information System (INIS)

    Cai, Qingjun; Chen, Bing-chung; Tsai, Chialun

    2012-01-01

    This paper presents a novel triple stack process to develop an all-silicon thermal ground plane (TGP) vapor chamber that enables fabrication of compact, large scale, low thermal expansion coefficient mismatch and high-performance heat transfer devices. The TGP vapor chamber is formed through bonding three etched silicon wafers. On both the top and bottom wafers, microscale and high aspect ratio wick structures are etched for liquid transport. The 1.5 mm thick middle layer contains the cavities for vapor flow. To achieve hermetic seal, glass frit with four sealing rings, approximately 300 µm wide and 30 µm thick, is used to bond the edges and supporting posts. For experimental evaluations, 3 mm × 38 mm × 38 mm TGP vapor chambers are developed. The volume density of the heat transfer device is approximately 1.5 × 10 3 kg m −3 . Measurement of mass loss and stability studies of heat transfer indicates that the vapor chamber system is hermetically sealed. Using ethanol as the operating liquid, high heat transfer performance is demonstrated. Effective thermal conductivity reaches over 2500 W m −1  ⋅ K −1 . Under high g environment, experimental results show good liquid transport capabilities of the wick structures. (paper)

  19. Design, development and tests of high-performance silicon vapor chamber

    Science.gov (United States)

    Cai, Qingjun; Chen, Bing-chung; Tsai, Chialun

    2012-03-01

    This paper presents a novel triple stack process to develop an all-silicon thermal ground plane (TGP) vapor chamber that enables fabrication of compact, large scale, low thermal expansion coefficient mismatch and high-performance heat transfer devices. The TGP vapor chamber is formed through bonding three etched silicon wafers. On both the top and bottom wafers, microscale and high aspect ratio wick structures are etched for liquid transport. The 1.5 mm thick middle layer contains the cavities for vapor flow. To achieve hermetic seal, glass frit with four sealing rings, approximately 300 µm wide and 30 µm thick, is used to bond the edges and supporting posts. For experimental evaluations, 3 mm × 38 mm × 38 mm TGP vapor chambers are developed. The volume density of the heat transfer device is approximately 1.5 × 103 kg m-3. Measurement of mass loss and stability studies of heat transfer indicates that the vapor chamber system is hermetically sealed. Using ethanol as the operating liquid, high heat transfer performance is demonstrated. Effective thermal conductivity reaches over 2500 W m-1 ṡ K-1. Under high g environment, experimental results show good liquid transport capabilities of the wick structures.

  20. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  1. Radical species involved in hotwire (catalytic) deposition of hydrogenated amorphous silicon

    International Nuclear Information System (INIS)

    Zheng Wengang; Gallagher, Alan

    2008-01-01

    Threshold ionization mass spectroscopy is used to measure the radicals that cause deposition of hydrogenated amorphous silicon by 'hotwire' (HW), or 'catalytic,' chemical vapor deposition. We provide the probability of silane (SiH 4 ) decomposition on the HW, and of Si and H release from the HW. The depositing radicals, and H atoms, are measured versus conditions to obtain their radical-silane reaction rates and contributions to film growth. A 0.01-3 Pa range of silane pressures and 1400-2400 K range of HW temperatures were studied, encompassing optimum device production conditions. Si 2 H 2 is the primary depositing radical under optimum conditions, accompanied by a few percent of Si atoms and a lot of H-atom reactions. Negligible SiH n radical production is observed and only a small flux of disilane is produced, but at the higher pressures some Si 3 H n is observed. A Si-SiH 4 reaction rate coefficient of 1.65 * 10 -11 cm 3 /s and a H + SiH 4 reaction rate coefficient of 5 * 10 -14 cm 3 /s are measured

  2. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  3. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  4. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  5. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  6. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  7. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  8. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  9. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  10. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  11. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  12. Ionizing Energy Depositions After Fast Neutron Interactions in Silicon

    CERN Document Server

    Bergmann, Benedikt; Caicedo, Ivan; Kierstead, James; Takai, Helio; Frojdh, Erik

    2016-01-01

    In this study we present the ionizing energy depositions in a 300 μm thick silicon layer after fast neutron impact. With the Time-of-Flight (ToF) technique, the ionizing energy deposition spectra of recoil silicons and secondary charged particles were assigned to (quasi-)monoenergetic neutron energies in the range from 180 keV to hundreds of MeV. We show and interpret representative measured energy spectra. By separating the ionizing energy losses of the recoil silicon from energy depositions by products of nuclear reactions, the competition of ionizing (IEL) and non-ionizing energy losses (NIEL) of a recoil silicon within the silicon lattice was investigated. The data give supplementary information to the results of a previous measurement and are compared with different theoretical predictions.

  13. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  14. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  15. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  16. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  17. Mechanical and tribological properties of silicon nitride films synthesized by ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Chen Yuanru; Li Shizhuo; Zhang Xushou; Liu Hong; Yang Genqing; Qu Baochun

    1991-01-01

    This article describes preliminary investigations of mechanical and tribological properties of silicon nitride film formed by ion beam enhanced deposition (IBED) on GH37 (Ni-based alloys) steel. The films were synthesized by silicon vapor deposition with a rate of 1 A/s and by 40 keV nitrogen ion bombardment simultaneously. The thickness of the film was about 5000 A. X-ray photoelectron spectroscopy and infrared absorption spectroscopy revealed that a stoichiometric Si 3 N 4 film was formed. The observation of TEM showed that the IBED Si 3 N 4 film normally had an amorphous structure. However, electron diffraction patterns revealed a certain crystallinity. The mechanical and tribological properties of the films were investigated with a scratch tester, microhardness meter, and a ball-on-disc tribometer respectively. Results show that the adhesive strength between film and substrate is about 51 N, the Vickers microhardness with a load of 0.2 N is 980, the friction coefficient measured for steel against silicon nitride film ranges from 0.1 to 0.15, and the wear rate of coatings is about 6.8x10 -5 mm 3 /(mN). Finally, the relationship among thermal annealing, crystallinity and tribological characteristics of the Si 3 N 4 film is discussed. (orig.)

  18. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  19. Simulation and Experimental Study of Photogeneration and Recombination in Amorphous-Like Silicon Thin Films Deposited by 27.12 MHz Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chia-Hsun Hsu

    2013-01-01

    Full Text Available Amorphous-like silicon (a-Si:H-like thin films are prepared by 27.12 MHz plasma-enhanced chemical vapor deposition technique. The films are applied to p-i-n single junction thin film solar cells with varying i-layer thickness to observe the effects on the short-circuit current density, as well as the open-circuit voltage, fill factor, and conversion efficiency. The most significant experimental result is that Jsc has two different behaviors with increasing the i-layer thickness, which can be related to carrier collection efficiency in the long wavelength region. Furthermore, technology computer-aided design simulation software is used to gain better insight into carrier generation and recombination of the solar cells, showing that for the i-layer thickness of 200 to 300 nm the generation dominates the carrier density and thus Jsc, whereas for the i-layer thickness of 300 to 400 nm the recombination becomes the leading factor. The simulation results of cell performances are in good agreement with experimental data, indicating that our simulation has great reliability. In addition, the a-Si:H-like solar cells have low light-induced degradation, which in turn can have a great potential to be used for stable and high-efficiency solar cells.

  20. Method for deposition of a conductor in integrated circuits

    Science.gov (United States)

    Creighton, J. Randall; Dominguez, Frank; Johnson, A. Wayne; Omstead, Thomas R.

    1997-01-01

    A method is described for fabricating integrated semiconductor circuits and, more particularly, for the selective deposition of a conductor onto a substrate employing a chemical vapor deposition process. By way of example, tungsten can be selectively deposited onto a silicon substrate. At the onset of loss of selectivity of deposition of tungsten onto the silicon substrate, the deposition process is interrupted and unwanted tungsten which has deposited on a mask layer with the silicon substrate can be removed employing a halogen etchant. Thereafter, a plurality of deposition/etch back cycles can be carried out to achieve a predetermined thickness of tungsten.

  1. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  2. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  3. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  4. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  5. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  6. Extraction of Kinetic Parameters for the Chemical Vapor Deposition of Polycrystalline Silicon at Medium and Low Pressures

    NARCIS (Netherlands)

    Holleman, J.; Verweij, J.F.; Verweij, Jan F.

    1993-01-01

    The deposition of silicon (Si) from silane (SiH4) was studied in the silane pressure range from 0.5 to 100 Pa (0.005 to1 mbar) and total pressure range from 10 to 1000 Pa using N2 or He as carrier gases. The two reaction paths, namely,heterogeneous and homogeneous decomposition could be separated by

  7. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  8. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  9. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  10. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  11. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  12. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  13. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  14. Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

    NARCIS (Netherlands)

    Doeswijk, L.M.; de Moor, Hugo H.C.; Rogalla, Horst; Blank, David H.A.

    2002-01-01

    Since most commercially available solar cells are still made from silicon, we are exploring the introduction of passivating qualities in oxides, with the potential to serve as an antireflection coating. Pulsed laser deposition (PLD) was used to deposit TiO2 and SrTiO3 coatings on silicon substrates.

  15. Biochemical analyses of lipids deposited on silicone hydrogel lenses

    Directory of Open Access Journals (Sweden)

    Shin Hatou

    2010-07-01

    Conclusions: The quantity of total lipid and cholesterol deposited on the 3 silicone hydrogel lenses tested did not differ. However, there were significant differences in the amounts of phospholipid deposited among the 3 silicone hydrogel lenses, of which clinical significance should be explored in the future study.

  16. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  17. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  18. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  19. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  20. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  1. Electroless deposition of Ni-P on a silicon surface

    Directory of Open Access Journals (Sweden)

    hassan El Grini

    2017-06-01

    Full Text Available The present article concerns the metallization of silicon substrates by deposition of the nickel-phosphorus alloy produced by an autocatalytic chemical process. The deposition electrolyte is composed of a metal salt, a reducing agent (sodium hypophosphite, a complexing agent (sodium citrate and a buffer (ammonium acetate. The deposition could only be carried out after activation of the silicon by fixing catalytic species on its surface. The immersion of the silicon samples in palladium chloride made it possible to produce relatively thick and regular Ni-P coatings. The immersion time was optimized. The activation of Si was characterized by XPS and the Ni-P coating by XPS and M.E.B. The electrochemical study did not show any real mechanism changes compared to the Ni-P deposition on a conductive surface. 

  2. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  3. Post-deposition thermal annealing studies of hydrogenated microcrystalline silicon deposited at 40 deg. C

    International Nuclear Information System (INIS)

    Bronsveld, P.C.P.; Wagt, H.J. van der; Rath, J.K.; Schropp, R.E.I.; Beyer, W.

    2007-01-01

    Post-deposition thermal annealing studies, including gas effusion measurements, measurements of infrared absorption versus annealing state, cross-sectional transmission electron microscopy (X-TEM) and atomic force microscopy (AFM), are used for structural characterization of hydrogenated amorphous and microcrystalline silicon films, prepared by very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) at low substrate temperature (T S ). Such films are of interest for application in thin semiconductor devices deposited on cheap plastics. For T S ∼ 40 deg. C, H-evolution shows rather complicated spectra for (near-) microcrystalline material, with hydrogen effusion maxima seen at ∼ 200-250 deg. C, 380 deg. C and ∼ 450-500 deg. C, while for the amorphous material typical spectra for good-quality dense material are found. Effusion experiments of implanted He demonstrate for the microcrystalline material the presence of a rather open (void-rich) structure. A similar tendency can be concluded from Ne effusion experiments. Fourier Transform infrared (FTIR) spectra of stepwise annealed samples show Si-H bond rupture already at annealing temperatures of 150 deg. C. Combined AFM/X-TEM studies reveal a columnar microstructure for all of these (near-) microcrystalline materials, of which the open structure is the most probable explanation of the shift of the H-effusion maximum in (near-) microcrystalline material to lower temperature

  4. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  5. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  6. Plasma deposition of amorphous silicon-based materials

    CERN Document Server

    Bruno, Giovanni; Madan, Arun

    1995-01-01

    Semiconductors made from amorphous silicon have recently become important for their commercial applications in optical and electronic devices including FAX machines, solar cells, and liquid crystal displays. Plasma Deposition of Amorphous Silicon-Based Materials is a timely, comprehensive reference book written by leading authorities in the field. This volume links the fundamental growth kinetics involving complex plasma chemistry with the resulting semiconductor film properties and the subsequent effect on the performance of the electronic devices produced. Key Features * Focuses on the plasma chemistry of amorphous silicon-based materials * Links fundamental growth kinetics with the resulting semiconductor film properties and performance of electronic devices produced * Features an international group of contributors * Provides the first comprehensive coverage of the subject, from deposition technology to materials characterization to applications and implementation in state-of-the-art devices.

  7. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  8. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  9. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  10. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  11. Deposition of silicon films in presence of nitrogen plasma— A ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. A design, development and validation work of plasma based 'activated reactive evaporation (ARE) system' is implemented for the deposition of the silicon films in presence of nitrogen plasma on substrate maintained at room temperature. This plasma based deposition system involves evaporation of pure silicon by.

  12. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  13. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  14. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  15. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  16. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  17. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  18. Molecular layer deposition of APTES on silicon nanowire biosensors: Surface characterization, stability and pH response

    International Nuclear Information System (INIS)

    Liang, Yuchen; Huang, Jie; Zang, Pengyuan; Kim, Jiyoung; Hu, Walter

    2014-01-01

    Graphical abstract: - Abstract: We report the use of molecular layer deposition (MLD) for depositing 3-aminopropyltriethoxysilane (APTES) on a silicon dioxide surface. The APTES monolayer was characterized using spectroscopic ellipsometry, contact angle goniometry, and atomic force microscopy. Effects of reaction time of repeating pulses and simultaneous feeding of water vapor with APTES were tested. The results indicate that the synergistic effects of water vapor and reaction time are significant for the formation of a stable monolayer. Additionally, increasing the number of repeating pulses improved the APTES surface coverage but led to saturation after 10 pulses. In comparing MLD with solution-phase deposition, the APTES surface coverage and the surface quality were nearly equivalent. The hydrolytic stability of the resulting films was also studied. The results confirmed that the hydrolysis process was necessary for MLD to obtain stable surface chemistry. Furthermore, we compared the pH sensing results of Si nanowire field effect transistors (Si NWFETs) modified by both the MLD and solution methods. The highly repeatable pH sensing results reflected the stability of APTES monolayers. The results also showed an improved pH response of the sensor prepared by MLD compared to the one prepared by the solution treatment, which indicated higher surface coverage of APTES

  19. Molecular layer deposition of APTES on silicon nanowire biosensors: Surface characterization, stability and pH response

    Science.gov (United States)

    Liang, Yuchen; Huang, Jie; Zang, Pengyuan; Kim, Jiyoung; Hu, Walter

    2014-12-01

    We report the use of molecular layer deposition (MLD) for depositing 3-aminopropyltriethoxysilane (APTES) on a silicon dioxide surface. The APTES monolayer was characterized using spectroscopic ellipsometry, contact angle goniometry, and atomic force microscopy. Effects of reaction time of repeating pulses and simultaneous feeding of water vapor with APTES were tested. The results indicate that the synergistic effects of water vapor and reaction time are significant for the formation of a stable monolayer. Additionally, increasing the number of repeating pulses improved the APTES surface coverage but led to saturation after 10 pulses. In comparing MLD with solution-phase deposition, the APTES surface coverage and the surface quality were nearly equivalent. The hydrolytic stability of the resulting films was also studied. The results confirmed that the hydrolysis process was necessary for MLD to obtain stable surface chemistry. Furthermore, we compared the pH sensing results of Si nanowire field effect transistors (Si NWFETs) modified by both the MLD and solution methods. The highly repeatable pH sensing results reflected the stability of APTES monolayers. The results also showed an improved pH response of the sensor prepared by MLD compared to the one prepared by the solution treatment, which indicated higher surface coverage of APTES.

  20. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  1. The Effect of High Temperature Annealing on the Grain Characteristics of a Thin Chemical Vapor Deposition Silicon Carbide Layer.

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen; Philippus M van Rooyen; Mary Lou Dunzik-Gougar

    2013-08-01

    The unique combination of thermo-mechanical and physiochemical properties of silicon carbide (SiC) provides interest and opportunity for its use in nuclear applications. One of the applications of SiC is as a very thin layer in the TRi-ISOtropic (TRISO) coated fuel particles for high temperature gas reactors (HTGRs). This SiC layer, produced by chemical vapor deposition (CVD), is designed to withstand the pressures of fission and transmutation product gases in a high temperature, radiation environment. Various researchers have demonstrated that macroscopic properties can be affected by changes in the distribution of grain boundary plane orientations and misorientations [1 - 3]. Additionally, various researchers have attributed the release behavior of Ag through the SiC layer as a grain boundary diffusion phenomenon [4 - 6]; further highlighting the importance of understanding the actual grain characteristics of the SiC layer. Both historic HTGR fission product release studies and recent experiments at Idaho National Laboratory (INL) [7] have shown that the release of Ag-110m is strongly temperature dependent. Although the maximum normal operating fuel temperature of a HTGR design is in the range of 1000-1250°C, the temperature may reach 1600°C under postulated accident conditions. The aim of this specific study is therefore to determine the magnitude of temperature dependence on SiC grain characteristics, expanding upon initial studies by Van Rooyen et al, [8; 9].

  2. Silicon Nanocrystal Synthesis in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with grains smaller than 5 nm are widely recognized as a key material in optoelectronic devices, lithium battery electrodes, and bio-medical labels. Another important characteristic is that silicon is an environmentally safe material that is used in numerous silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma-enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. In this study, we explore the possibility of microplasma technologies for efficient production of mono-dispersed nanocrystalline silicon particles on a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using a very-high-frequency (144 MHz) power source in a capillary glass tube with volume of less than 1 μl. Fundamental plasma parameters of the microplasma were characterized using optical emission spectroscopy, which respectively indicated electron density of 1015 cm-3, argon excitation temperature of 5000 K, and rotational temperature of 1500 K. Such high-density non-thermal reactive plasma can decompose silicon tetrachloride into atomic silicon to produce supersaturated silicon vapor, followed by gas-phase nucleation via three-body collision: particle synthesis in high-density plasma media is beneficial for promoting nucleation processes. In addition, further growth of silicon nuclei can be terminated in a short-residence-time reactor. Micro-Raman scattering spectra showed that as-deposited particles are mostly amorphous silicon with a small fraction of silicon nanocrystals. Transmission electron micrography confirmed individual 3-15 nm silicon nanocrystals. Although particles were not mono-dispersed, they were well separated and not coagulated.

  3. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  4. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  5. Chemical vapor deposition of three aminosilanes on silicon dioxide: surface characterization, stability, effects of silane concentration, and cyanine dye adsorption.

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Larsen, Adam M; Findley, Daniel A; Davis, Robert C; Samha, Hussein; Linford, Matthew R

    2010-09-21

    Covalently bonded monolayers of two monofunctional aminosilanes (3-aminopropyldimethylethoxysilane, APDMES, and 3-aminopropyldiisopropylethoxysilane, APDIPES) and one trifunctional aminosilane (3-aminopropyltriethoxysilane, APTES) have been deposited on dehydrated silicon substrates by chemical vapor deposition (CVD) at 150 °C and low pressure (a few Torr) using reproducible equipment. Standard surface analytical techniques such as x-ray photoelectron spectroscopy (XPS), contact angle goniometry, spectroscopic ellipsometry, atomic force microscopy, and time-of-flight secondary ion mass spectroscopy (ToF-SIMS) have been employed to characterize the resulting films. These methods indicate that essentially constant surface coverages are obtained over a wide range of gas phase concentrations of the aminosilanes. XPS data further indicate that the N1s/Si2p ratio is higher after CVD with the trifunctional silane (APTES) compared to the monofunctional ones, with a higher N1s/Si2p ratio for APDMES compared to that for APDIPES. AFM images show an average surface roughness of 0.12- 0.15 nm among all three aminosilane films. Stability tests indicate that APDIPES films retain most of their integrity at pH 10 for several hours and are more stable than APTES or APDMES layers. The films also showed good stability against storage in the laboratory. ToF-SIMS of these samples showed expected peaks, such as CN(-), as well as CNO(-), which may arise from an interaction between monolayer amine groups and silanols. Optical absorption measurements on adsorbed cyanine dye at the surface of the aminosilane films show the formation of dimer aggregates on the surface. This is further supported by ellipsometry measurements. The concentration of dye on each surface appears to be consistent with the density of the amines.

  6. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  7. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  8. Enhancement of deposition rate at cryogenic temperature in synchrotron radiation excited deposition of silicon film

    International Nuclear Information System (INIS)

    Nara, Yasuo; Sugita, Yoshihiro; Ito, Takashi; Kato, Hiroo; Tanaka, Ken-ichiro

    1989-01-01

    The authors have investigated the synchrotron radiation excited deposition of silicon films on the SiO 2 substrate by using SiH 4 /He mixture gas at BL-12C at Photon Factory. They used VUV light from the multilayer mirror with the center photon energy from 97 to 123eV, which effectively excites L-core electrons of silicon. Substrate temperature was widely varied from -178 degree C to 500 degree C. At -178 degree C, the deposition rate was as high as 400nm/200mAHr (normalized at the storage ring current at 200mA). As increasing the substrate temperature, the deposition rate was drastically decreased. The number of deposited silicon atoms is estimated to be 4 to 50% of incident photons, while the number of photo generated species in the gas phase within the mean free path from the surface is calculated as few as about 10 -3 of incident photons. These experimental results show that the deposition reaction is governed by the dissociation of surface adsorbates by the synchrotron radiation

  9. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  10. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  11. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  12. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  13. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  14. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  15. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  16. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  17. Effect of PECVD deposition parameters on structural and optoelectronics properties of hydrogenated polymorphous silicon thin films deposited by dichlorosilane for implementation in solar cells

    International Nuclear Information System (INIS)

    Álvarez-Macías, C.; Hernández González, Oscar Daniel; Barrera Calva, Enrique; Gómez González, L.; Santana, G.

    2015-01-01

    Hydrogenated polymorphous silicon (pm-Si: H) thin films were deposited at room temperature by plasma enhanced chemical vapor deposition (PECVD) using SiH2Cl2 as precursor gas. We examine the effect of deposition pressure (250 y 500 mTorr) and H2 dilution (flow rates 25, 50, 75 y 100 sccm) on the structural and optoelectronics properties. The nano-structural properties was confirmed by Raman spectroscopy studies in terms of the changes in crystallite sizes and their volume fractions. On the other hand, by FTIR analysis we notice bond configurations associated to photostability of the nanostructures, which was confirmed by Light soaking experiments during 250h. We found a tunable band gap and important behaviors on the electronic transport properties measurements for samples with high and low incorporation of oxygen whose compositions were determined by XPS measurements. Understanding structural and chemical properties of pm- Si: H thin films is key towards optimizing their electrical and optical properties for applications in solar cells. (full text)

  18. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  19. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  20. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  1. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  2. Flexural strength of proof-tested and neutron-irradiated silicon carbide

    Science.gov (United States)

    Price, R. J.; Hopkins, G. R.

    1982-08-01

    Proof testing before service is a valuable method for ensuring the reliability of ceramic structures. Silicon carbide has been proposed as a very low activation first-wall and blanket structural material for fusion devices, where it would experience a high flux of fast neutrons. Strips of three types of silicon carbide were loaded in four-point bending to a stress sufficient to break about a third of the specimens. Groups of 16 survivors were irradiated to 2 × 10 26n/ m2 ( E>0.05 MeV) at 740°C and bend tested to failure. The strength distribution of chemically vapor-deposited silicon carbide (Texas Instruments) was virtually unchanged by irradiation. The mean strength of sintered silicon carbide (Carborundum Alpha) was reduced 34% by irradiation, while the Weibull modulus and the truncated strength distribution characteristic of proof-tested material were retained. Irradiation reduced the mean strength of reaction-bonded silicon carbide (Norton NC-430) by 58%, and the spread in strength values was increased. We conclude that for the chemically vapor-deposited and the sintered silicon carbide the benefits of proof testing to eliminate low strength material are retained after high neutron exposures.

  3. Selective growth of carbon nanotube on silicon substrates

    Institute of Scientific and Technical Information of China (English)

    ZOU Xiao-ping; H. ABE; T. SHIMIZU; A. ANDO; H. TOKUMOT; ZHU Shen-ming; ZHOU Hao-shen

    2006-01-01

    The carbon nanotube (CNT) growth of iron oxide-deposited trench-patterns and the locally-ordered CNT arrays on silicon substrate were achieved by simple thermal chemical vapor deposition(STCVD) of ethanol vapor. The CNTs were uniformly synthesized with good selectivity on trench-patterned silicon substrates. This fabrication process is compatible with currently used semiconductor-processing technologies,and the carbon-nanotube fabrication process can be widely applied for the development of electronic devices using carbon-nanotube field emitters as cold cathodes and can revolutionize the area of field-emitting electronic devices. The site-selective growth of CNT from an iron oxide nanoparticle catalyst patterned were also achieved by drying-mediated self-assembly technique. The present method offers a simple and cost-effective method to grow carbon nanotubes with self-assembled patterns.

  4. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  5. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  6. Unraveling the growth of vertically aligned multi-walled carbon nanotubes by chemical vapor deposition

    International Nuclear Information System (INIS)

    Ramirez, A; Royo, C; Latorre, N; Mallada, R; Monzón, A; Tiggelaar, R M

    2014-01-01

    The interaction between the main operational variables during the growth of vertically aligned multiwalled carbon nanotubes (VA-MWCNTs) by catalytic chemical vapor deposition is studied. In this contribution, we report the influence of the carbon source (i.e. acetylene, ethylene and propylene), the reaction/activation temperature, the rate of heating, the reaction time, the metal loading, and the metallic nanoparticle size and distribution on the growth and alignment of carbon nanotubes. Fe/Al thin films deposited onto silicon samples by electron-beam evaporation are used as catalyst. A phenomenological growth mechanism is proposed to explain the interaction between these multiple factors. Three different outcomes of the synthesis process are found: i) formation of forests of non-aligned, randomly oriented multi-walled carbon nanotubes, ii) growth of vertically aligned tubes with a thin and homogeneous carbonaceous layer on the top, and iii) formation of vertically aligned carbon nanotubes. This carbonaceous layer (ii) has not been reported before. The main requirements to promote vertically aligned carbon nanotube growth are determined. (paper)

  7. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  8. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  9. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  10. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  11. Low-Temperature Cu-Cu Bonding Using Silver Nanoparticles Fabricated by Physical Vapor Deposition

    Science.gov (United States)

    Wu, Zijian; Cai, Jian; Wang, Junqiang; Geng, Zhiting; Wang, Qian

    2018-02-01

    Silver nanoparticles (Ag NPs) fabricated by physical vapor deposition (PVD) were introduced in Cu-Cu bonding as surface modification layer. The bonding structure consisted of a Ti adhesive/barrier layer and a Cu substrate layer was fabricated on the silicon wafer. Ag NPs were deposited on the Cu surface by magnetron sputtering in a high-pressure environment and a loose structure with NPs was obtained. Shear tests were performed after bonding, and the influences of PVD pressure, bonding pressure, bonding temperature and annealing time on shear strength were assessed. Cu-Cu bonding with Ag NPs was accomplished at 200°C for 3 min under the pressure of 30 MPa without a post-annealing process, and the average bonding strength of 13.99 MPa was reached. According to cross-sectional observations, a void-free bonding interface with an Ag film thickness of around 20 nm was achieved. These results demonstrated that a reliable low-temperature short-time Cu-Cu bonding was realized by the sintering process of Ag NPs between the bonding pairs, which indicated that this bonding method could be a potential candidate for future ultra-fine pitch 3D integration.

  12. Study on the graphene/silicon Schottky diodes by transferring graphene transparent electrodes on silicon

    International Nuclear Information System (INIS)

    Wang, Xiaojuan; Li, Dong; Zhang, Qichong; Zou, Liping; Wang, Fengli; Zhou, Jun; Zhang, Zengxing

    2015-01-01

    Graphene/silicon heterostructures present a Schottky characteristic and have potential applications for solar cells and photodetectors. Here, we fabricated graphene/silicon heterostructures by using chemical vapor deposition derived graphene and n-type silicon, and studied the electronic and optoelectronic properties through varying their interface and silicon resistivity. The results exhibit that the properties of the fabricated configurations can be effectively modulated. The graphene/silicon heterostructures with a Si (111) interface and high resistivity show a better photovoltaic behavior and should be applied for high-performance photodetectors. With the combined atomic force microscopy and theoretical analysis, the possible origination is discussed. The work here should be helpful on exploring high-performance graphene/silicon photoelectronics. - Highlights: • Different graphene/silicon heterostructures were fabricated. • Electronic and optoelectronic properties of the heterostructures were studied. • Graphene/silicon heterostructures were further explored for photodetectors.

  13. Study on the graphene/silicon Schottky diodes by transferring graphene transparent electrodes on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaojuan [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); School of Physics and Electronics, Henan University, Kaifeng 475004 (China); Li, Dong; Zhang, Qichong; Zou, Liping; Wang, Fengli [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); Zhou, Jun, E-mail: zhoujunzhou@tongji.edu.cn [Center for Phononics and Thermal Energy Science, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); Zhang, Zengxing, E-mail: zhangzx@tongji.edu.cn [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China)

    2015-10-01

    Graphene/silicon heterostructures present a Schottky characteristic and have potential applications for solar cells and photodetectors. Here, we fabricated graphene/silicon heterostructures by using chemical vapor deposition derived graphene and n-type silicon, and studied the electronic and optoelectronic properties through varying their interface and silicon resistivity. The results exhibit that the properties of the fabricated configurations can be effectively modulated. The graphene/silicon heterostructures with a Si (111) interface and high resistivity show a better photovoltaic behavior and should be applied for high-performance photodetectors. With the combined atomic force microscopy and theoretical analysis, the possible origination is discussed. The work here should be helpful on exploring high-performance graphene/silicon photoelectronics. - Highlights: • Different graphene/silicon heterostructures were fabricated. • Electronic and optoelectronic properties of the heterostructures were studied. • Graphene/silicon heterostructures were further explored for photodetectors.

  14. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  15. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  16. Internal energy deposition with silicon nanoparticle-assisted laser desorption/ionization (SPALDI) mass spectrometry

    Science.gov (United States)

    Dagan, Shai; Hua, Yimin; Boday, Dylan J.; Somogyi, Arpad; Wysocki, Ronald J.; Wysocki, Vicki H.

    2009-06-01

    The use of silicon nanoparticles for laser desorption/ionization (LDI) is a new appealing matrix-less approach for the selective and sensitive mass spectrometry of small molecules in MALDI instruments. Chemically modified silicon nanoparticles (30 nm) were previously found to require very low laser fluence in order to induce efficient LDI, which raised the question of internal energy deposition processes in that system. Here we report a comparative study of internal energy deposition from silicon nanoparticles to previously explored benzylpyridinium (BP) model compounds during LDI experiments. The internal energy deposition in silicon nanoparticle-assisted laser desorption/ionization (SPALDI) with different fluorinated linear chain modifiers (decyl, hexyl and propyl) was compared to LDI from untreated silicon nanoparticles and from the organic matrix, [alpha]-cyano-4-hydroxycinnamic acid (CHCA). The energy deposition to internal vibrational modes was evaluated by molecular ion survival curves and indicated that the ions produced by SPALDI have an internal energy threshold of 2.8-3.7 eV. This is slightly lower than the internal energy induced using the organic CHCA matrix, with similar molecular survival curves as previously reported for LDI off silicon nanowires. However, the internal energy associated with desorption/ionization from the silicon nanoparticles is significantly lower than that reported for desorption/ionization on silicon (DIOS). The measured survival yields in SPALDI gradually decrease with increasing laser fluence, contrary to reported results for silicon nanowires. The effect of modification of the silicon particle surface with semifluorinated linear chain silanes, including fluorinated decyl (C10), fluorinated hexyl (C6) and fluorinated propyl (C3) was explored too. The internal energy deposited increased with a decrease in the length of the modifier alkyl chain. Unmodified silicon particles exhibited the highest analyte internal energy

  17. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  18. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  19. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  20. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  1. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  2. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  3. Synthesis of Silicon Nanocrystals in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with a grain size of at least less than 10 nm are widely recognized as one of the key materials in optoelectronic devices, electrodes of lithium battery, bio-medical labels. There is also important character that silicon is safe material to the environment and easily gets involved in existing silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. We explore the possibility of microplasma technologies for the efficient production of mono-dispersed nanocrystalline silicon particles in a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using very high frequency (VHF = 144 MHz) power source in a capillary glass tube with a volume of less than 1 μ-liter. Fundamental plasma parameters of VHF capacitively coupled microplasma were characterized by optical emission spectroscopy, showing electron density of approximately 1015 cm-3 and rotational temperature of 1500 K, respectively. Such high-density non-thermal reactive plasma has a capability of decomposing silicon tetrachloride into atomic silicon to produce supersaturated atomic silicon vapor, followed by gas phase nucleation via three-body collision. The particle synthesis in high-density plasma media is beneficial for promoting nucleation process. In addition, further growth of silicon nuclei was able to be favorably terminated in a short-residence time reactor. Micro Raman scattering spectrum showed that as-deposited particles were mostly amorphous silicon with small fraction of silicon nanocrystals. Transmission electron micrograph confirmed individual silicon nanocrystals of 3-15 nm size. Although those particles were not mono-dispersed, they were

  4. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  5. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  6. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  7. Crystalline Silicon Solar Cells with Thin Silicon Passivation Film Deposited prior to Phosphorous Diffusion

    Directory of Open Access Journals (Sweden)

    Ching-Tao Li

    2014-01-01

    Full Text Available We demonstrate the performance improvement of p-type single-crystalline silicon (sc-Si solar cells resulting from front surface passivation by a thin amorphous silicon (a-Si film deposited prior to phosphorus diffusion. The conversion efficiency was improved for the sample with an a-Si film of ~5 nm thickness deposited on the front surface prior to high-temperature phosphorus diffusion, with respect to the samples with an a-Si film deposited on the front surface after phosphorus diffusion. The improvement in conversion efficiency is 0.4% absolute with respect to a-Si film passivated cells, that is, the cells with an a-Si film deposited on the front surface after phosphorus diffusion. The new technique provided a 0.5% improvement in conversion efficiency compared to the cells without a-Si passivation. Such performance improvements result from reduced surface recombination as well as lowered contact resistance, the latter of which induces a high fill factor of the solar cell.

  8. The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.

    2013-04-01

    The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.

  9. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  10. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  11. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  12. Silicon Nitride Antireflection Coatings for Photovoltaic Cells

    Science.gov (United States)

    Johnson, C.; Wydeven, T.; Donohoe, K.

    1984-01-01

    Chemical-vapor deposition adapted to yield graded index of refraction. Silicon nitride deposited in layers, refractive index of which decreases with distance away from cell/coating interface. Changing index of refraction allows adjustment of spectral transmittance for wavelengths which cell is most effective at converting light to electric current. Average conversion efficiency of solar cells increased from 8.84 percent to 12.63 percent.

  13. Highly featured amorphous silicon nanorod arrays for high-performance lithium-ion batteries

    International Nuclear Information System (INIS)

    Soleimani-Amiri, Samaneh; Safiabadi Tali, Seied Ali; Azimi, Soheil; Sanaee, Zeinab; Mohajerzadeh, Shamsoddin

    2014-01-01

    High aspect-ratio vertical structures of amorphous silicon have been realized using hydrogen-assisted low-density plasma reactive ion etching. Amorphous silicon layers with the thicknesses ranging from 0.5 to 10 μm were deposited using radio frequency plasma enhanced chemical vapor deposition technique. Standard photolithography and nanosphere colloidal lithography were employed to realize ultra-small features of the amorphous silicon. The performance of the patterned amorphous silicon structures as a lithium-ion battery electrode was investigated using galvanostatic charge-discharge tests. The patterned structures showed a superior Li-ion battery performance compared to planar amorphous silicon. Such structures are suitable for high current Li-ion battery applications such as electric vehicles

  14. Highly featured amorphous silicon nanorod arrays for high-performance lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Soleimani-Amiri, Samaneh; Safiabadi Tali, Seied Ali; Azimi, Soheil; Sanaee, Zeinab; Mohajerzadeh, Shamsoddin, E-mail: mohajer@ut.ac.ir [Thin Film and Nanoelectronics Lab, Nanoelectronics Center of Excellence, School of Electrical and Computer Engineering, University of Tehran, Tehran 143957131 (Iran, Islamic Republic of)

    2014-11-10

    High aspect-ratio vertical structures of amorphous silicon have been realized using hydrogen-assisted low-density plasma reactive ion etching. Amorphous silicon layers with the thicknesses ranging from 0.5 to 10 μm were deposited using radio frequency plasma enhanced chemical vapor deposition technique. Standard photolithography and nanosphere colloidal lithography were employed to realize ultra-small features of the amorphous silicon. The performance of the patterned amorphous silicon structures as a lithium-ion battery electrode was investigated using galvanostatic charge-discharge tests. The patterned structures showed a superior Li-ion battery performance compared to planar amorphous silicon. Such structures are suitable for high current Li-ion battery applications such as electric vehicles.

  15. Drastic reduction in the surface recombination velocity of crystalline silicon passivated with catalytic chemical vapor deposited SiNx films by introducing phosphorous catalytic-doped layer

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2014-01-01

    We improve the passivation property of n-type crystalline silicon (c-Si) surface passivated with a catalytic chemical vapor deposited (Cat-CVD) Si nitride (SiN x ) film by inserting a phosphorous (P)-doped layer formed by exposing c-Si surface to P radicals generated by the catalytic cracking of PH 3 molecules (Cat-doping). An extremely low surface recombination velocity (SRV) of 2 cm/s can be achieved for 2.5 Ω cm n-type (100) floating-zone Si wafers passivated with SiN x /P Cat-doped layers, both prepared in Cat-CVD systems. Compared with the case of only SiN x passivated layers, SRV decreases from 5 cm/s to 2 cm/s. The decrease in SRV is the result of field effect created by activated P atoms (donors) in a shallow P Cat-doped layer. Annealing process plays an important role in improving the passivation quality of SiN x films. The outstanding results obtained imply that SiN x /P Cat-doped layers can be used as promising passivation layers in high-efficiency n-type c-Si solar cells.

  16. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    Science.gov (United States)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  17. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  18. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  19. Microfabrication, separations, and detection by mass spectrometry on ultrathin-layer chromatography plates prepared via the low-pressure chemical vapor deposition of silicon nitride onto carbon nanotube templates.

    Science.gov (United States)

    Kanyal, Supriya S; Häbe, Tim T; Cushman, Cody V; Dhunna, Manan; Roychowdhury, Tuhin; Farnsworth, Paul B; Morlock, Gertrud E; Linford, Matthew R

    2015-07-24

    Microfabrication of ultrathin-layer chromatography (UTLC) plates via conformal deposition of silicon nitride by low-pressure chemical vapor deposition onto patterned carbon nanotube (CNT) scaffolds was demonstrated. After removal of the CNTs and hydroxylation, the resulting UTLC phase showed no expansion or distortion of their microfeatures and the absence/reduction of remaining nitrogenic species. Developing time of a mixture of lipophilic dyes on this UTLC plates was 86% shorter than on high-performance thin-layer chromatography (HPTLC) plates. A water-soluble food dye mixture was also separated resulting in low band broadening and reduced developing time compared to HPTLC. For the latter example, mobile phase optimization on a single UTLC plate consisted of 14 developments with different mobile phases, each preceded by a plate prewashing step. The same plate was again reused for additional 11 separations under varying conditions resulting in a development procedure with a mean separation efficiency of 233,000theoretical plates/m and a reduced mobile phase consumption of only 400μL. This repeated use proved the physical robustness of the ultrathin layer and its resistance to damage. The layer was highly suited for hyphenation to ambient mass spectrometry, including desorption electrospray ionization (DESI) mass spectrometry imaging and direct analysis in real time (DART) mass spectrometry. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. Electrochemical and hydrothermal deposition of ZnO on silicon: from continuous films to nanocrystals

    International Nuclear Information System (INIS)

    Balucani, M.; Nenzi, P.; Chubenko, E.; Klyshko, A.; Bondarenko, V.

    2011-01-01

    This article presents the study of the electrochemical deposition of zinc oxide from the non-aqueous solution based on dimethyl sulfoxide and zinc chloride into the porous silicon matrix. The features of the deposition process depending on the thickness of the porous silicon layer are presented. It is shown that after deposition process the porous silicon matrix is filled with zinc oxide nanocrystals with a diameter of 10–50 nm. The electrochemically deposited zinc oxide layers on top of porous silicon are shown to have a crystalline structure. It is also shown that zinc oxide crystals formed by hydrothermal method on the surface of electrochemically deposited zinc oxide film demonstrate ultra-violet luminescence. The effect of the porous silicon layer thickness on the morphology of the zinc oxide is shown. The structures obtained demonstrated two luminescence bands peaking at the 375 and 600 nm wavelengths. Possible applications of ZnO nanostructures, porous and continuous polycrystalline ZnO films such as gas sensors, light-emitting diodes, photovoltaic devices, and nanopiezo energy generators are considered. Aspects of integration with conventional silicon technology are also discussed.

  1. The Affordable Pre-Finishing of Silicon Carbide for Optical Applications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Creare proposes to develop a novel, laser-assisted, pre-finishing process for chemical vapor deposition (CVD) coated silicon-carbide ceramics. Our innovation will...

  2. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  3. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  4. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  5. Chemical modification of silicon surfaces for the application in soft lithography

    Energy Technology Data Exchange (ETDEWEB)

    Gilles, S.

    2007-05-15

    The objective of this work was to chemically modify silicon surfaces by anchoring functional molecules. A major part was devoted to the investigation and improvement of the self-assembly process of organosilanes on oxidized silicon surfaces. The formation of a release agent layer with perfluorinated alkylsilanes was performed by vapor phase deposition. An advanced vapor phase deposition device, called CASINO device, was built to enhance the qualities of the thin films. It is possible to carry out cleaning and silanization in a closed chamber without exposing the samples to air in between. Thereby surface contamination is avoided. Experiments with the new device were performed following examples given in literature. To optimize the silanization process in the CASINO device, it was also planned to apply heat treatment of the sample during or after the deposition process. Surface layers of thiolterminated and of aminoterminated molecules were investigated as adhesive layer for the linkage of metal structures to silicon surfaces, e.g. Shuttle-Transfer Printing with gold crossbar electrodes. First, thiol- and aminoterminated organosilane SAMs were tested as adhesive layers for gold. The surface modified with thiolterminated silane molecules was further examined. Adhesion was promoted only after heat treatment of a thiolmodified silicon substrate with a gold layer on top. (orig.)

  6. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  7. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  8. Amorphous silicon as high index photonic material

    Science.gov (United States)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  9. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  10. Charge trapping and carrier transport mechanism in silicon-rich silicon oxynitride

    International Nuclear Information System (INIS)

    Yu Zhenrui; Aceves, Mariano; Carrillo, Jesus; Lopez-Estopier, Rosa

    2006-01-01

    The charge-trapping and carrier transport properties of silicon-rich silicon oxynitride (SRO:N) were studied. The SRO:N films were deposited by low pressure chemical vapor deposition. Infrared (IR) and transmission electron microscopic (TEM) measurements were performed to characterize their structural properties. Capacitance versus voltage and current versus voltage measurements (I-V) were used to study the charge-trapping and carrier transport mechanism. IR and TEM measurements revealed the existence of Si nanodots in SRO:N films. I-V measurements revealed that there are two conduction regimes divided by a threshold voltage V T . When the applied voltage is smaller than V T , the current is dominated by the charge transfer between the SRO:N and substrate; and in this regime only dynamic charging/discharging of the SRO:N layer is observed. When the voltage is larger than V T , the current increases rapidly and is dominated by the Poole-Frenkel mechanism; and in this regime, large permanent trapped charge density is obtained. Nitrogen incorporation significantly reduced the silicon nanodots or defects near the SRO:N/Si interface. However, a significant increase of the density of silicon nanodot in the bulk of the SRO:N layer is obtained

  11. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  12. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  13. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  14. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  15. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  16. Optimization of chemical displacement deposition of copper on porous silicon.

    Science.gov (United States)

    Bandarenka, Hanna; Redko, Sergey; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly

    2012-11-01

    Copper (II) sulfate was used as a source of copper to achieve uniform distribution of Cu particles deposited on porous silicon. Layers of the porous silicon were formed by electrochemical anodization of Si wafers in a mixture of HF, C3H7OH and deionized water. The well-known chemical displacement technique was modified to grow the copper particles of specific sizes. SEM and XRD analysis revealed that the outer surface of the porous silicon was covered with copper particles of the crystal orientation inherited from the planes of porous silicon skeleton. The copper crystals were found to have the cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were identified. The dimensions of Cu particles were determined by the Feret's analysis of the SEM images. The sizes of the particles varied widely from a few to hundreds of nanometers. A phenomenological model of copper deposition was proposed.

  17. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  18. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  19. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  20. Defects study of hydrogenated amorphous silicon samples and their relation with the substrate and deposition conditions

    International Nuclear Information System (INIS)

    Darwich, R.

    2009-07-01

    The goal of this work is to study the properties of the defects aiming to explore the types of defects and the effect of various deposition parameters such as substrate temperature, the kind of the substrate, gas pressure and deposition rate. Two kinds of samples have been used; The first one was a series of Schottky diodes, and the second one a series of solar cells (p-i-n junction) deposited on crystalline silicon or on corning glass substrates with different deposition parameters. The deposition parameters were chosen to obtain materials whose their structures varying from amorphous to microcrystalline silicon including polymorphous silicon. Our results show that the polymorphous silicon samples deposited at high deposition rates present the best photovoltaic properties in comparison with those deposited at low rates. Also we found that the defects concentration in high deposition rate samples is less at least by two orders than that obtained in low deposition rate polymorphous, microcrystalline and amorphous samples. This study shows also that there is no effect of the substrate, or the thin films of highly doped amorphous silicon deposited on the substrate, on the creation and properties of these defects. Finally, different experimental methods have been used; a comparison between their results has been presented. (author)

  1. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  2. High-rate silicon nitride deposition for photovoltaics : from fundamentals to industrial application

    NARCIS (Netherlands)

    Kessels, W.M.M.; Oever, van den P.J.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Sanden, van de M.C.M.

    2005-01-01

    The development of a novel plasma technique for high rate (> 1 nm/s) silicon nitride deposition for multifunctional antireflection coatings on crystalline silicon solar cells is described. The research has involved the analysis of the structural and optical properties of the silicon nitride films as

  3. High-rate silicon nitride deposition for photovoltaics : from fundamentals to industrial application

    NARCIS (Netherlands)

    Kessels, W.M.M.; Oever, van den P.J.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Sanden, van de M.C.M.

    2004-01-01

    The development of a novel plasma technique for high rate (> 1 nm/s) silicon nitride deposition for multifunctional antireflection coatings on crystalline silicon solar cells is described. The research has involved the analysis of the structural and optical properties of the silicon nitride films as

  4. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  5. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  6. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  7. Dependence of silicon carbide coating properties on deposition parameters: preliminary report

    International Nuclear Information System (INIS)

    Lauf, R.J.; Braski, D.N.

    1980-05-01

    Fuel particles for the High-Temperature Gas-Cooled Reactor (HTGR) contain a layer of pyrolytic silicon carbide, which acts as a pressure vessel and provides containment of metallic fission products. The silicon carbide (SiC) is deposited by the thermal decomposition of methyltrichlorosilane (CH 3 SiCl 3 or MTS) in an excess of hydrogen. The purpose of the current study is to determine how the deposition variables affect the structure and properties of the SiC layer

  8. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  9. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  10. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  11. Enhancement of porous silicon photoluminescence by electroless deposition of nickel

    Energy Technology Data Exchange (ETDEWEB)

    Amdouni, S. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Rahmani, M., E-mail: rahmanimehdi79@yahoo.com [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Zaïbi, M.-A [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia); Ecole Nationale Supérieure des Ingénieurs de Tunis, Université de Tunis, 5 Avenue Taha Hussein, 1008 Tunis (Tunisia); Oueslati, M. [Unité de nanomatériaux et photonique, Université El Manar, Faculté des Sciences de Tunis, Département de Physique, 2092 El Manar, Tunis Tunisia (Tunisia)

    2015-01-15

    Nickel-porous silicon nanocomposites (PS/Ni) are elaborated by an electroless deposition method using NiCl{sub 2} aqueous solution. The presence of nickel ions in the porous layer is confirmed by Fourier Transformed InfraRed spectroscopy (FTIR) and Raman spectroscopy. The photoluminescence (PL) spectra of PS/Ni, prepared at different electroless durations (t{sub edp}), are analyzed. A remarkable enhancement in the integrated PL intensity of PS containing nickel was observed. The lower t{sub edp} favor the deposition of nickel in PS, hence the silicon dangling bonds at the porous surface are quenched and this was increased the PL intensity. However, for the longer t{sub edp}, the PL intensity has been considerably decreased due to the destruction of some Si nanocrystallites. The PL spectra of PS/Ni, for t{sub edp} less than 8 min, show a multiband profile indicating the creation of new luminescent centers by Ni elements which induces a strong modification in the emission mechanisms. - Highlights: • Deposition of Ni ions into porous silicon (PS) layer using the electroless method. • Formation of Ni–O bonds on the porous layer. • The photoluminescence (PL) intensity of PS is enhanced after Ni deposition. • The increase of the PL is due to the contribution of radiative centers related to Ni.

  12. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  13. Materials and Light Management for High-Efficiency Thin-Film Silicon Solar Cells

    OpenAIRE

    Tan, H.

    2015-01-01

    Direct conversion of sunlight into electricity is one of the most promising approaches to provide sufficient renewable energy for humankind. Solar cells are such devices which can efficiently generate electricity from sunlight through the photovoltaic effect. Thin-film silicon solar cells, a type of photovoltaic (PV) devices which deploy the chemical-vapor-deposited hydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H) and their alloys as the absorber layers and doped ...

  14. Combination of silicon nitride and porous silicon induced optoelectronic features enhancement of multicrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Rabha, Mohamed Ben; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-06-15

    The effects of antireflection (ARC) and surface passivation films on optoelectronic features of multicrystalline silicon (mc-Si) were investigated in order to perform high efficiency solar cells. A double layer consisting of Plasma Enhanced Chemical Vapor Deposition (PECVD) of silicon nitride (SiN{sub x}) on porous silicon (PS) was achieved on mc-Si surfaces. It was found that this treatment decreases the total surface reflectivity from about 25% to around 6% in the 450-1100 nm wavelength range. As a result, the effective minority carrier diffusion length, estimated from the Laser-beam-induced current (LBIC) method, was found to increase from 312 {mu}m for PS-treated cells to about 798 {mu}m for SiN{sub x}/PS-treated ones. The deposition of SiN{sub x} was found to impressively enhance the minority carrier diffusion length probably due to hydrogen passivation of surface, grain boundaries and bulk defects. Fourier Transform Infrared Spectroscopy (FTIR) shows that the vibration modes of the highly suitable passivating Si-H bonds exhibit frequency shifts toward higher wavenumber, depending on the x ratio of the introduced N atoms neighbors. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  16. Morphology and electronic transport of polycrystalline silicon films deposited by SiF sub 4 /H sub 2 at a substrate temperature of 200 deg. C

    CERN Document Server

    Hazra, S; Ray, S

    2002-01-01

    Undoped and phosphorous doped polycrystalline silicon (poly-Si) films were deposited using a SiF sub 4 /H sub 2 gas mixture at a substrate temperature of 200 deg. C by radio frequency plasma enhanced chemical vapor deposition (rf-PECVD). Fourier transform infrared (FTIR) spectroscopy and x-ray diffraction (XRD) experiments reveal that the present poly-Si films are equivalent to the poly-Si films deposited at high temperature (>600 deg. C). XRD and scanning electron microscope observations show that the crystalline quality of slightly P-doped film is better compared to that of undoped poly-Si films. Phosphorus atom concentration in the slightly P-doped poly-Si film is 5.0x10 sup 1 sup 6 atoms/cm sup 3. Association of a few phosphorous atoms in the silicon matrix enhances crystallization as eutectic-forming metals do. Dark conductivity of slightly P-doped film is 4 orders of magnitude higher, although mobility-lifetime product (eta mu tau) is 2 orders of magnitude lower than that of undoped film. The presence o...

  17. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  18. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  19. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  20. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  1. Effect of power on the growth of nanocrystalline silicon films

    International Nuclear Information System (INIS)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma

    2008-01-01

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm -1 and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity

  2. Effect of power on the growth of nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma [Plasma Processed Materials Group, National Physical Laboratory, Dr K S Krishnan Road, New Delhi 110 012 (India)], E-mail: skumar@mail.nplindia.ernet.in

    2008-08-20

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm{sup -1} and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity.

  3. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  4. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  5. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  6. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  7. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  8. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  9. Charging effects during focused electron beam induced deposition of silicon oxide

    NARCIS (Netherlands)

    de Boer, Sanne K.; van Dorp, Willem F.; De Hosson, Jeff Th. M.

    2011-01-01

    This paper concentrates on focused electron beam induced deposition of silicon oxide. Silicon oxide pillars are written using 2, 4, 6, 8, 10-pentamethyl-cyclopenta-siloxane (PMCPS) as precursor. It is observed that branching of the pillar occurs above a minimum pillar height. The branching is

  10. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  11. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  12. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  13. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  14. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  15. Vaporization thermodynamics and enthalpy of formation of aluminum silicon carbide

    International Nuclear Information System (INIS)

    Behrens, R.G.; Rinehart, G.H.

    1984-01-01

    The vaporization thermodynamics of aluminum silicon carbide was investigated using Knudsen effusion mass spectrometry. Vaporization occurred incongruently to give Al(g), SiC(s), and graphite as reaction products. The vapor pressure of aluminum above (Al 4 SiC 4 + SiC + C) was measured using graphite effusion cells with orifice areas between 1.1 X 10 -2 and 3.9 X 10 -4 cm 2 . The vapor pressure of aluminum obtained between 1427 and 1784 K using an effusion cell with the smallest orifice area, 3.9 X 10 -4 cm 2 , is expressed as log p (Pa) = - (18567 + or - 86) (K/T) + (12.143 + or - 0.054) The third-law calculation of the enthalpy change for the reaction Al 4 SiC 4 (s) = 4Al(g) + SiC(hex) + 3C(s) using the present aluminum pressures gives ΔH 0 (298.15 K) = (1455 + or - 79) kJ /SUP ./ mol -1 . The corresponding second-law result is ΔH 0 (298.15 K) = (1456 + or - 47) kJ /SUP ./ mol -1 . The standard enthalpy of formation of Al 4 SiC 4 (s) from the elements calculated from the present vaporization enthalpy (third-law calculation) and the enthalpies of formation of Al(g) and hexagonal SiC is ΔH 0 /SUB f/ (298.15 K) = -(221 + or - 85) kJ /SUP ./ mol -1 . The standard enthalpy of formation of Al 4 SiC 4 (s) from its constituent carbides Al 4 C 3 (s) and SiC(c, hex) is calculated to be ΔH 0 (298.15 K) = (38 + or - 92) KJ /SUP ./ mol -1

  16. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  17. A fabrication guide for planar silicon quantum dot heterostructures

    Science.gov (United States)

    Spruijtenburg, Paul C.; Amitonov, Sergey V.; van der Wiel, Wilfred G.; Zwanenburg, Floris A.

    2018-04-01

    We describe important considerations to create top-down fabricated planar quantum dots in silicon, often not discussed in detail in literature. The subtle interplay between intrinsic material properties, interfaces and fabrication processes plays a crucial role in the formation of electrostatically defined quantum dots. Processes such as oxidation, physical vapor deposition and atomic-layer deposition must be tailored in order to prevent unwanted side effects such as defects, disorder and dewetting. In two directly related manuscripts written in parallel we use techniques described in this work to create depletion-mode quantum dots in intrinsic silicon, and low-disorder silicon quantum dots defined with palladium gates. While we discuss three different planar gate structures, the general principles also apply to 0D and 1D systems, such as self-assembled islands and nanowires.

  18. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  19. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  20. Microscopic silicon-based lateral high-aspect-ratio structures for thin film conformality analysis

    International Nuclear Information System (INIS)

    Gao, Feng; Arpiainen, Sanna; Puurunen, Riikka L.

    2015-01-01

    Film conformality is one of the major drivers for the interest in atomic layer deposition (ALD) processes. This work presents new silicon-based microscopic lateral high-aspect-ratio (LHAR) test structures for the analysis of the conformality of thin films deposited by ALD and by other chemical vapor deposition means. The microscopic LHAR structures consist of a lateral cavity inside silicon with a roof supported by pillars. The cavity length (e.g., 20–5000 μm) and cavity height (e.g., 200–1000 nm) can be varied, giving aspect ratios of, e.g., 20:1 to 25 000:1. Film conformality can be analyzed with the microscopic LHAR by several means, as demonstrated for the ALD Al 2 O 3 and TiO 2 processes from Me 3 Al/H 2 O and TiCl 4 /H 2 O. The microscopic LHAR test structures introduced in this work expose a new parameter space for thin film conformality investigations expected to prove useful in the development, tuning and modeling of ALD and other chemical vapor deposition processes

  1. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  2. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  3. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  4. Formation of microchannels from low-temperature plasma-deposited silicon oxynitride

    Science.gov (United States)

    Matzke, Carolyn M.; Ashby, Carol I. H.; Bridges, Monica M.; Manginell, Ronald P.

    2000-01-01

    A process for forming one or more fluid microchannels on a substrate is disclosed that is compatible with the formation of integrated circuitry on the substrate. The microchannels can be formed below an upper surface of the substrate, above the upper surface, or both. The microchannels are formed by depositing a covering layer of silicon oxynitride over a mold formed of a sacrificial material such as photoresist which can later be removed. The silicon oxynitride is deposited at a low temperature (.ltoreq.100.degree. C.) and preferably near room temperature using a high-density plasma (e.g. an electron-cyclotron resonance plasma or an inductively-coupled plasma). In some embodiments of the present invention, the microchannels can be completely lined with silicon oxynitride to present a uniform material composition to a fluid therein. The present invention has applications for forming microchannels for use in chromatography and electrophoresis. Additionally, the microchannels can be used for electrokinetic pumping, or for localized or global substrate cooling.

  5. Effects of potassium hydroxide post-treatments on the field-emission properties of thermal chemical vapor deposited carbon nanotubes.

    Science.gov (United States)

    Lee, Li-Ying; Lee, Shih-Fong; Chang, Yung-Ping; Hsiao, Wei-Shao

    2011-12-01

    In this study, a simple potassium hydroxide treatment was applied to functionalize the surface and to modify the structure of multi-walled carbon nanotubes grown on silicon substrates by thermal chemical vapor deposition. Scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and energy dispersive spectrometry were employed to investigate the mechanism causing the modified field-emission properties of carbon nanotubes. From our experimental data, the emitted currents of carbon nanotubes after potassium hydroxide treatment are enhanced by more than one order of magnitude compared with those of untreated carbon nanotubes. The emitted current density of carbon nanotubes increases from 0.44 mA/cm2 to 7.92 mA/cm2 after 30 minutes KOH treatment. This technique provides a simple, economical, and effective way to enhance the field-emission properties of carbon nanotubes.

  6. Hydrogen concentration profiles and chemical bonding in silicon nitride

    International Nuclear Information System (INIS)

    Peercy, P.S.; Stein, H.J.; Doyle, B.L.; Picraux, S.T.

    1978-01-01

    The complementary technique of nuclear reaction analysis and infrared absorption were used to study the concentration profile and chemical bonding of hydrogen in silicon nitride for different preparation and annealing conditions. Silicon nitride prepared by chemical vapor deposition from ammonia-silane mixtures is shown to have hydrogen concentrations of 8.1 and 6.5 at.% for deposition temperatures of 750 and 900 0 C, respectively. Plasma deposition at 300 0 C from these gases results in hydrogen concentrations of approximately 22 at.%. Comparison of nuclear reaction analysis and infrared absorption measurements after isothermal annealing shows that all of the hydrogen retained in the films remains bonded to either silicon or nitrogen and that hydrogen release from the material on annealing is governed by various trap energies involving at least two N-H and one Si-H trap. Reasonable estimates of the hydrogen release rates can be made from the effective diffusion coefficient obtained from measurements of hydrogen migration in hydrogen implanted and annealed films

  7. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    OpenAIRE

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Lee, William J.; Tsai, Song-Yeu; Lu, Yung-An; Liou, Jia-Jhe; Chang, Shun-Hsyung; Wang, Kang L.

    2010-01-01

    The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si) wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD). The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been d...

  8. Uniform-sized silicone oil microemulsions: preparation, investigation of stability and deposition on hair surface.

    Science.gov (United States)

    Nazir, Habiba; Lv, Piping; Wang, Lianyan; Lian, Guoping; Zhu, Shiping; Ma, Guanghui

    2011-12-01

    Emulsions are commonly used in foods, pharmaceuticals and home-personal-care products. For emulsion based products, it is highly desirable to control the droplet size distribution to improve storage stability, appearance and in-use property. We report preparation of uniform-sized silicone oil microemulsions with different droplets diameters (1.4-40.0 μm) using SPG membrane emulsification technique. These microemulsions were then added into model shampoos and conditioners to investigate the effects of size, uniformity, and storage stability on silicone oil deposition on hair surface. We observed much improved storage stability of uniform-sized microemulsions when the droplets diameter was ≤22.7 μm. The uniform-sized microemulsion of 40.0 μm was less stable but still more stable than non-uniform sized microemulsions prepared by conventional homogenizer. The results clearly indicated that uniform-sized droplets enhanced the deposition of silicone oil on hair and deposition increased with decreasing droplet size. Hair switches washed with small uniform-sized droplets had lower values of coefficient of friction compared with those washed with larger uniform and non-uniform droplets. Moreover the addition of alginate thickener in the shampoos and conditioners further enhanced the deposition of silicone oil on hair. The good correlation between silicone oil droplets stability, deposition on hair and resultant friction of hair support that droplet size and uniformity are important factors for controlling the stability and deposition property of emulsion based products such as shampoo and conditioner. Copyright © 2011 Elsevier Inc. All rights reserved.

  9. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  10. Fabrication of silicon condenser microphones using single wafer technology

    NARCIS (Netherlands)

    Scheeper, P.R.; van der Donk, A.G.H.; Olthuis, Wouter; Bergveld, Piet

    1992-01-01

    A condenser microphone design that can be fabricated using the sacrificial layer technique is proposed and tested. The microphone backplate is a 1-¿m plasma-enhanced chemical-vapor-deposited (PECVD) silicon nitride film with a high density of acoustic holes (120-525 holes/mm2), covered with a thin

  11. Thermodynamic calculations for chemical vapor deposition of silicon carbide using ethyltrichlorosilane

    International Nuclear Information System (INIS)

    Nakano, Junichi; Yamada, Reiji

    1995-06-01

    The computer code SOLGASMIX-PV, which is based on the free energy minimization method, was used to calculate the equilibrium composition of the C 2 H 5 SiCl 3 -H 2 -Ar system. In the C 2 H 5 SiCl 3 -H 2 system, the calculation results showed that β-SiC+C, β-SiC, β-SiC+Si(1), Si(1), β-SiC+Si(s), and Si(s) would be deposited, whereas β-SiC+C and C would be deposited in the C 2 H 5 SiCl 3 -Ar system. By comparing the calculated results with the experimental results from the literature, in the region calculated as β-SiC+C to be deposited, β-SiC+C, β-SiC, or β-SiC+Si(s) was deposited in the experiments. The calculations revealed that the gas mole ratios for CVD were optimum when the (Ar+H 2 )/C 2 H 5 SiCl 3 took a value between 1000 and 10000, and the Ar/H 2 between 0.43 and 1.5. The deposition temperature was optimum between 1100-1500K. In this region, the Si atoms were most effectively used as source materials, and formed a single phase of β-SiC on the substrate. (author)

  12. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  13. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  14. Microstructure Related Characterization of a-Si:H Thin Films PECVD Deposited under Varied Hydrogen Dilution

    Directory of Open Access Journals (Sweden)

    Veronika Vavrunkova

    2007-01-01

    Full Text Available We report on the structure and optical properties of hydrogenated silicon thin films deposited by plasma - enhanced chemical vapor deposition (PECVD from silane diluted with hydrogen in a wide dilution range. The samples deposited with dilutions below 30 were detected as amorphous hydrogenated silicon (a-Si:H with crystalline grains of several nanometers in size which represent the medium-range order of a-Si:H. The optical characterization confirmed increasing ordering with the increasing dilution. The optical band gap was observed to be increasing function of the dilution.

  15. Surface Characteristics and Catalytic Activity of Copper Deposited Porous Silicon Powder

    Directory of Open Access Journals (Sweden)

    Muhammad Yusri Abdul Halim

    2014-12-01

    Full Text Available Porous structured silicon or porous silicon (PS powder was prepared by chemical etching of silicon powder in an etchant solution of HF: HNO3: H2O (1:3:5 v/v. An immersion time of 4 min was sufficient for depositing Cu metal from an aqueous solution of CuSO4 in the presence of HF. Scanning electron microscopy (SEM analysis revealed that the Cu particles aggregated upon an increase in metal content from 3.3 wt% to 9.8 wt%. H2-temperature programmed reduction (H2-TPR profiles reveal that re-oxidation of the Cu particles occurs after deposition. Furthermore, the profiles denote the existence of various sizes of Cu metal on the PS. The Cu-PS powders show excellent catalytic reduction on the p-nitrophenol regardless of the Cu loadings.

  16. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  17. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  18. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  19. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Solvent-free functionalization of silicone rubber and efficacy of PAAm brushes grafted from an amino-PPX layer against bacterial adhesion

    NARCIS (Netherlands)

    Fundeanu, Irina; Klee, Doris; Schouten, Arend J.; Busscher, Henk J.; van der Mei, Henny C.

    Silicone rubber is a frequently employed biomaterial that is prone to bacterial adhesion and biofilm formation. In this study, the surface of silicone rubber was solvent-free functionalized by chemical vapor deposition (CVD) of poly(o-amino-p-xylylene-co-p-xylylene (amino-PPX). Subsequently, the

  1. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  2. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  3. Are tomorrow's micro-supercapacitors hidden in a forest of silicon nanotrees?

    Science.gov (United States)

    Thissandier, Fleur; Gentile, Pascal; Brousse, Thierry; Bidan, Gérard; Sadki, Saïd

    2014-12-01

    Silicon nanotrees (SiNTrs) have been grown by Chemical Vapor Deposition (CVD) via gold catalysis and a three steps process: trunks and branches growth are separated by a new gold catalyst deposition. The influence of growth conditions and the second gold catalyst deposition method on SiNTrs morphology are investigated. SiNTrs based electrodes show a capacitive behavior and better capacitance than the corresponding silicon nanowires (SiNWs) electrode. Electrode capacitance is increased up to 900 μF cm-2, i.e. 150 fold higher than for bulk silicon. Micro-supercapacitors with SiNTrs electrodes have a remarkable stability (only 1.2% loses of their initial capacitance after more than one million cycles). The use of an ionic liquid based electrolyte leads to a high maximum power density (around 225 mW cm-2) which is competitive with Onion Like Carbon based micro-supercapacitors.

  4. Metal Nanoparticles Deposited on Porous Silicon Templates as Novel Substrates for SERS

    Directory of Open Access Journals (Sweden)

    Lara Mikac

    2015-12-01

    Full Text Available In this paper, results on preparation of stable and uniform SERS solid substrates using macroporous silicon (pSi with deposited silver and gold are presented. Macroporous silicon is produced by anodisation of p-type silicon in hydrofluoric acid. The as prepared pSi is then used as a template for Ag and Au depositions. The noble metals were deposited in three different ways: by immersion in silver nitrate solution, by drop-casting silver colloidal solution and by pulsed laser ablation (PLA. Substrates obtained by different deposition processes were evaluated for SERS efficiency using methylene blue (MB and rhodamine 6G (R6G at 514.5, 633 and 785 nm. Using 514.5 nm excitation and R6G the limits of detection (LOD for macroporous Si samples with noble metal nanostructures obtained by immersion of pSi sample in silver nitrate solution and by applying silver colloidal solution to pSi template were 10–9 M and 10–8 M respectively. Using 633 nm laser and MB the most noticeable SERS activity gave pSi samples ablated with 30000 and 45000 laser pulses where the LODs of 10–10 M were obtained. The detection limit of 10–10 M was also reached for 4 mA cm–2-15 min pSi sample, silver ablated with 30000 pulses. Macroporous silicon proved to be a good base for the preparation of SERS substrates.

  5. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  6. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  7. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    International Nuclear Information System (INIS)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-01-01

    A rapid thermal anneal (RTA) in an NH 3 ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 0 C in NH 3 and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (≥1000 0 C) RTA in Ar completely converted W into the low resistivity (31 μΩ cm) tetragonal WSi 2 phase. In contrast, after a prior 900 0 C RTA in NH 3 , N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi 2 formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 0 C NH 3 anneal. The NH 3 -treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 0 C, at which point some increase in contact resistance was measured

  8. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  9. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  10. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  11. Electronic structures of ultra-thin silicon carbides deposited on graphite

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    Electronic structures of ultra-thin silicon carbide films have been investigated by X-ray photoelectron spectroscopy (XPS) and Si K-edge X-ray absorption near edge structure (XANES) using linearly polarized synchrotron soft X-rays. Silicon carbide films were deposited on the surface of highly oriented pyrolytic graphite (HOPG) by ion beam deposition method. Tetramethylsilane (Si(CH 3 ) 4 ) was used as a discharge gas. The XPS and XANES features for the thick layers were similar to those for the bulk SiC. For sub-monolayered films, the Si 1s binding energy in XPS was higher by 2.5 eV than that for bulk SiC. This suggests the existence of low-dimensional SiC x where the silicon atoms are more positively charged than those in bulk SiC. After annealing the sub-monolayered film at 850 deg. C, a new peak appeared around 1840 eV in the XANES spectrum. The energy of this new peak was lower than those for any other silicon compounds. The low-energy feature of the XANES peak suggests the existence of π*-like orbitals around the silicon atom. On the basis of the polarization dependencies of the XANES spectra, it was revealed that the direction of the π*-like orbitals are nearly perpendicular to the surface. We conclude that sub-monolayered SiC x film exhibits flat-lying structure of which configuration is similar to a single sheet of graphite

  12. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  13. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  14. SiC-Based Composite Materials Obtained by Siliconizing Carbon Matrices

    Science.gov (United States)

    Shikunov, S. L.; Kurlov, V. N.

    2017-12-01

    We have developed a method for fabrication of parts of complicated configuration from composite materials based on SiC ceramics, which employs the interaction of silicon melt with the carbon matrix having a certain composition and porosity. For elevating the operating temperatures of ceramic components, we have developed a method for depositing protective silicon-carbide coatings that is based on the interaction of the silicon melt and vapor with carbon obtained during thermal splitting of hydrocarbon molecules. The new structural ceramics are characterized by higher operating temperatures; chemical stability; mechanical strength; thermal shock, wear and radiation resistance; and parameters stability.

  15. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  16. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  17. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  18. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  19. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  20. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  1. Direct deposition of gold on silicon with focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Irradiation with ions at very low energies (below 500 eV) no longer induces a removal of substrate material, but the ions are directly deposited on the surface. In this way, gold has been deposited on silicon with focused ion beam exposure and the properties of the film have been investigated with atomic force microscopy and Auger electron spectroscopy. (author) 3 figs., 1 ref.

  2. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  3. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma.

    Science.gov (United States)

    Knoops, Harm C M; Braeken, Eline M J; de Peuter, Koen; Potts, Stephen E; Haukka, Suvi; Pore, Viljami; Kessels, Wilhelmus M M

    2015-09-09

    Atomic layer deposition (ALD) of silicon nitride (SiNx) is deemed essential for a variety of applications in nanoelectronics, such as gate spacer layers in transistors. In this work an ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied. The process exhibited a wide temperature window starting from room temperature up to 500 °C. The material properties and wet-etch rates were investigated as a function of plasma exposure time, plasma pressure, and substrate table temperature. Table temperatures of 300-500 °C yielded a high material quality and a composition close to Si3N4 was obtained at 500 °C (N/Si=1.4±0.1, mass density=2.9±0.1 g/cm3, refractive index=1.96±0.03). Low wet-etch rates of ∼1 nm/min were obtained for films deposited at table temperatures of 400 °C and higher, similar to that achieved in the literature using low-pressure chemical vapor deposition of SiNx at >700 °C. For novel applications requiring significantly lower temperatures, the temperature window from room temperature to 200 °C can be a solution, where relatively high material quality was obtained when operating at low plasma pressures or long plasma exposure times.

  4. Formation of nanocrystals embedded in a silicon nitride film at a low temperature ({<=}200 deg. C)

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyoung-Min; Kim, Tae-Hwan [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of); Hong, Wan-Shick [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of)], E-mail: wshong@uos.ac.kr

    2008-12-15

    Silicon-rich silicon nitride films with embedded silicon nanocrystals (Si NCs) were fabricated successfully on plastic substrates at a low temperature by catalytic chemical vapor deposition. A mixture of SiH{sub 4}, NH{sub 3} and H{sub 2} was used as a source gas. Formation of the silicon nanocrystals was analyzed by photoluminescence spectra and was confirmed by transmission electron microscopy. The formation of Si NCs required an H{sub 2}/SiH{sub 4} mixture ratio that was higher than four.

  5. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  6. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  7. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  8. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  9. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  10. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  11. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    Energy Technology Data Exchange (ETDEWEB)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-12-15

    A rapid thermal anneal (RTA) in an NH/sub 3/ ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 /sup 0/C in NH/sub 3/ and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (greater than or equal to1000 /sup 0/C) RTA in Ar completely converted W into the low resistivity (31 ..mu cap omega.. cm) tetragonal WSi/sub 2/ phase. In contrast, after a prior 900 /sup 0/C RTA in NH/sub 3/, N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi/sub 2/ formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 /sup 0/C NH/sub 3/ anneal. The NH/sub 3/-treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 /sup 0/C, at which point some increase in contact resistance was measured.

  12. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  13. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  14. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  15. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  16. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  17. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  18. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Torres, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Ambrosio, R. [Universidad Autonoma de Ciudad Juarez, Electrical Deparment, Chihuahua (Mexico); Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico)

    2011-10-25

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH{sub 4} and H{sub 2}) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity ({sigma}(T)), activation energy (E{sub a}), optical band gap (E{sub g}) and deposition rate (V{sub d}) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E{sub a} and room temperature conductivity, {sigma}{sub RT}, which are key parameters for thermal detection applications.

  19. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    International Nuclear Information System (INIS)

    Moreno, M.; Torres, A.; Ambrosio, R.; Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A.

    2011-01-01

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH 4 and H 2 ) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity (σ(T)), activation energy (E a ), optical band gap (E g ) and deposition rate (V d ) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E a and room temperature conductivity, σ RT , which are key parameters for thermal detection applications.

  20. Enhanced photoluminescence from ring resonators in hydrogenated amorphous silicon thin films at telecommunications wavelengths.

    Science.gov (United States)

    Patton, Ryan J; Wood, Michael G; Reano, Ronald M

    2017-11-01

    We report enhanced photoluminescence in the telecommunications wavelength range in ring resonators patterned in hydrogenated amorphous silicon thin films deposited via low-temperature plasma enhanced chemical vapor deposition. The thin films exhibit broadband photoluminescence that is enhanced by up to 5 dB by the resonant modes of the ring resonators due to the Purcell effect. Ellipsometry measurements of the thin films show a refractive index comparable to crystalline silicon and an extinction coefficient on the order of 0.001 from 1300 nm to 1600 nm wavelengths. The results are promising for chip-scale integrated optical light sources.

  1. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  2. RF Reactive Magnetron Sputter Deposition of Silicon Sub-Oxides

    NARCIS (Netherlands)

    Hattum, E.D. van

    2007-01-01

    RF reactive magnetron plasma sputter deposition of silicon sub oxide E.D. van Hattum Department of Physics and Astronomy, Faculty of Sciences, Utrecht University The work described in the thesis has been inspired and stimulated by the use of SiOx layers in the direct inductive printing technology,

  3. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  4. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  5. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  6. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  7. Oxidación en vapor de agua del acero inoxidable AISI 316 recubierto con Al-Si por deposición química de vapor en lecho fluidizado

    Directory of Open Access Journals (Sweden)

    José Luddey Marulanda Arevalo

    2014-06-01

    Full Text Available Stainless steel AISI 316 was coated with aluminum-silicon by Chemical Vapor Deposition in Fluidized Bed Reactor (CVD-FBR to 540°C and subsequently heat treated to improve its mechanical properties and its behavior against oxidation, by inter diffusion of the alloying elements. Later, oxidation was performed austenitic stainless steel aluminum-silicon coated at temperatures of 700 and 750°C, in an environment with 100% steam, to evaluate their performance. Mass gain graphs were made and oxide films were analyzed by SEM and X-ray diffraction to observe the morphology of the oxides. Thermodynamic simulation was carried out during oxidation in water vapor of the substrates for possible solid phases which could be formed. The form of attack at 750°C is similar to 700°C, although the rate of oxidation is increased, because the diffusion of the alloying elements and the oxidation reactions are faster. These coatings have good resistance to oxidation in water vapor and forming an alumina surface layer which protects the substrate from corrosion attack.

  8. Study program to develop and evaluate die and container materials for the growth of silicon ribbons. [for development of low cost solar cells

    Science.gov (United States)

    Addington, L. A.; Ownby, P. D.; Yu, B. B.; Barsoum, M. W.; Romero, H. V.; Zealer, B. G.

    1979-01-01

    The development and evaluation of proprietary coatings of pure silicon carbide, silicon nitride, and aluminum nitride on less pure hot pressed substrates of the respective ceramic materials, is described. Silicon sessile drop experiments were performed on coated test specimens under controlled oxygen partial pressure. Prior to testing, X-ray diffraction and SEM characterization was performed. The reaction interfaces were characterized after testing with optical and scanning electron microscopy and Auger electron spectroscopy. Increasing the oxygen partial pressure was found to increase the molten silicon contact angle, apparently because adsorbed oxygen lowers the solid-vapor interfacial free energy. It was also found that adsorbed oxygen increased the degree of attack of molten silicon upon the chemical vapor deposited coatings. Cost projections show that reasonably priced, coated, molten silicon resistant refractory material shapes are obtainable.

  9. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  10. Microscopic study of the H.sub.2./sub.O vapor treatment of the silicon grain boundaries

    Czech Academy of Sciences Publication Activity Database

    Honda, Shinya; Mates, Tomáš; Rezek, Bohuslav; Fejfar, Antonín; Kočka, Jan

    2008-01-01

    Roč. 354, č. 19-25 (2008), s. 2310-2313 ISSN 0022-3093 R&D Projects: GA MŽP(CZ) SN/3/172/05 Keywords : polycrystalline silicon films * H 2 O vapor treatment * potential * crystalline disorder * stress * defects * passivation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.449, year: 2008

  11. X-ray absorption study of silicon carbide thin film deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Monaco, G.; Suman, M.; Garoli, D.; Pelizzo, M.G.; Nicolosi, P.

    2011-01-01

    Silicon carbide (SiC) is an important material for several applications ranging from electronics to Extreme UltraViolet (EUV) space optics. Crystalline cubic SiC (3C-SiC) has a wide band gap (near 2.4 eV) and it is a promising material to be used in high frequency and high energetic electronic devices. We have deposited, by means of pulsed laser deposition (PLD), different SiC films on sapphire and silicon substrates both at mild (650 o C) and at room temperature. The resulted films have different structures such as: highly oriented polycrystalline, polycrystalline and amorphous which have been studied by means of X-ray absorption spectroscopy (XAS) near the Si L 2,3 edge and the C K edge using PES (photoemission spectroscopy) for the analysis of the valence bands structure and film composition. The samples obtained by PLD have shown different spectra among the grown films, some of them showing typical 3C-SiC absorption structure, but also the presence of some Si-Si and graphitic bonds.

  12. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  13. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Linjie; Wang, Lixin [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Qin, Xiujuan, E-mail: qinxj@ysu.edu.cn [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Cui, Li [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Shao, Guangjie [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao 066004 (China)

    2016-04-30

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  14. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Linjie; Wang, Lixin; Qin, Xiujuan; Cui, Li; Shao, Guangjie

    2016-01-01

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  15. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  16. Properties of non-stoichiometric nitrogen doped LPCVD silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, F.; Mahamdi, R. [Departement d' Electronique, Universite Mentouri, Constantine (Algeria); Beghoul, M.R. [Departement d' Electronique, Universite de Jijel (Algeria); Temple-Boyer, P. [CNRS, LAAS, Toulouse (France); Universite de Toulouse, UPS, INSA, INP, ISAE, LAAS, Toulouse (France); Bouridah, H.

    2010-02-15

    The influence of nitrogen on the internal structure and so on the electrical properties of silicon thin films obtained by low-pressure chemical vapor deposition (LPCVD) was studied using several investigation methods. We found by using Raman spectroscopy and SEM observations that a strong relationship exists between the structural order of the silicon matrix and the nitrogen ratio in film before and after thermal treatment. As a result of the high disorder caused by nitrogen on silicon network during the deposit phase of films, the crystallization phenomena in term of nucleation and crystalline growth were found to depend upon the nitrogen content. Resistivity measurements results show that electrical properties of NIDOS films depend significantly on structural properties. It was appeared that for high nitrogen content, the films tend to acquire an insulator behavior. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  18. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  19. Carrier dynamics in microcrystalline silicon studied by time-resolved terahertz spectroscopy

    Czech Academy of Sciences Publication Activity Database

    Fekete, Ladislav; Němec, Hynek; Kadlec, Filip; Kužel, Petr; Stuchlík, Jiří; Fejfar, Antonín; Kočka, Jan

    2006-01-01

    Roč. 352, - (2006), s. 2846-2849 ISSN 0022-3093 R&D Projects: GA AV ČR(CZ) KJB100100512 Institutional research plan: CEZ:AV0Z10100520 Keywords : silicon * solar cells * dielectric properties * relaxation * electric modulus * chemical vapor deposition * microcrystallinity Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.362, year: 2006

  20. Fluidized bed deposition and evaluation of silicon carbide coatings on microspheres

    International Nuclear Information System (INIS)

    Federer, J.I.

    1977-01-01

    The fuel element for the HTGR is an array of closely packed fuel microspheres in a carbonaceous matrix. A coating of dense silicon carbide (SiC), along with pyrocarbon layers, is deposited on the fueled microspheres to serve as a barrier against diffusion of fission products. The microspheres are coated with silicon carbide in a fluidized bed by reaction of methyltrichlorosilane (CH 3 SiCl 3 or MTS) and hydrogen at elevated temperatures. The principal variables of coating temperature and reactant gas composition (H 2 /MTS ratio) have been correlated with coating rate, morphology, stoichiometry, microstructure, and density. The optimum temperature for depositing highly dense coatings is in the range 1475 to 1675 0 C. Lower temperatures result in silicon-rich deposits, while higher temperatures may cause unacceptable porosity. The optimum H 2 /MTS ratio for highly dense coatings is 20 or more (approximately 5% MTS or less). The amount of grown-in porosity increases as the H 2 /MTS ratio decreases below 20. The requirement that the H 2 /MTS ratio be about 20 or more imposes a practical restraint on coating rate, since increasing the total flow rate would eventually expel microspheres from the coating tube. Evaluation of stoichiometry, morphology, and microstructure support the above mentioned optimum conditions of temperature and reactant gas composition. 18 figures, 3 tables

  1. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  2. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  3. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  4. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  5. Laser deposition of resonant silicon nanoparticles on perovskite for photoluminescence enhancement

    Science.gov (United States)

    Tiguntseva, E. Y.; Zalogina, A. S.; Milichko, V. A.; Zuev, D. A.; Omelyanovich, M. M.; Ishteev, A.; Cerdan Pasaran, A.; Haroldson, R.; Makarov, S. V.; Zakhidov, A. A.

    2017-11-01

    Hybrid lead halide perovskite based optoelectronics is a promising area of modern technologies yielding excellent characteristics of light emitting diodes and lasers as well as high efficiencies of photovoltaic devices. However, the efficiency of perovskite based devices hold a potential of further improvement. Here we demonstrate high photoluminescence efficiency of perovskites thin films via deposition of resonant silicon nanoparticles on their surface. The deposited nanoparticles have a number of advances over their plasmonic counterparts, which were applied in previous studies. We show experimentally the increase of photoluminescence of perovskite film with the silicon nanoparticles by 150 % as compared to the film without the nanoparticles. The results are supported by numerical calculations. Our results pave the way to high throughput implementation of low loss resonant nanoparticles in order to create highly effective perovskite based optoelectronic devices.

  6. Neutron transmutation doping of polycrystalline silicon

    International Nuclear Information System (INIS)

    Cleland, J.W.; Westbrook, R.D.; Wood, R.F.; Young, R.T.

    1976-04-01

    Chemical vapor deposition (CVD) of doped silane has been used by others to deposit a polycrytalline silicon film (polysil) on metal or graphite substrates, but dopant migration to grain boundaries during deposition apparently prohibits attaining a uniform or desired dopant concentration. In contrast, we have used neutron transmutation doping to introduce a uniform phosphorus dopant concentration in commercially available undoped CVD polysil at doping concentrations greater than or equal to 2 x 10 15 cm -3 . Radiation damage annealing to 800 0 C did not indicate dopant migration. Carrier mobility increased with doping concentration and the minority carrier lifetime (MCL) appears to be comparable to that of neutron transmutation doped (NTD) single crystal Si. Application of this technique to photovoltaic solar cell fabrication is discussed

  7. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  8. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  9. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  10. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  11. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    Science.gov (United States)

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  14. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  15. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  16. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  17. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  18. Method of purifying zirconium tetrachloride and hafnium tetrachloride in a vapor stream

    International Nuclear Information System (INIS)

    Snyder, T.S.; Stolz, R.A.

    1992-01-01

    This patent describes a method of purifying zirconium tetrachloride and hafnium tetrachloride in a vapor stream from a sand chlorinator in which the silicon and metals present in sand fed to the chlorinator are converted to chlorides at temperatures over about 800 degrees C. It comprises cooling a vapor stream from a sand chlorinator, the vapor stream containing principally silicon tetrachloride, zirconium tetrachloride, and hafnium tetrachloride contaminated with ferric chloride, to a temperature of from about 335 degrees C to about 600 degrees C; flowing the vapor stream through a gaseous diffusion separative barrier to produce a silicon tetrachloride-containing vapor stream concentrated in zirconium tetrachloride and hafnium tetrachloride and a silicon tetrachloride-containing vapor stream depleted in zirconium tetrachloride and hafnium tetrachloride; adsorbing the ferric chloride in the separative barrier; and recovering the silicon tetrachloride stream concentrated in zirconium tetrachloride and hafnium tetrachloride separately from the silicon tetrachloride stream depleted in zirconium tetrachloride and hafnium tetrachloride

  19. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  20. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  1. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  2. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  3. Use of hexamethyldisiloxane for p-type microcrystalline silicon oxycarbide layers

    Directory of Open Access Journals (Sweden)

    Goyal Prabal

    2016-01-01

    Full Text Available The use of hexamethyldisiloxane (HMDSO as an oxygen source for the growth of p-type silicon-based layers deposited by Plasma Enhanced Chemical Vapor Deposition is evaluated. The use of this source led to the incorporation of almost equivalent amounts of oxygen and carbon, resulting in microcrystalline silicon oxycarbide thin films. The layers were examined with characterisation techniques including Spectroscopic Ellipsometry, Dark Conductivity, Fourier Transform Infrared Spectroscopy, Secondary Ion Mass Spectrometry and Transmission Electron Microscopy to check material composition and structure. Materials studies show that the refractive indices of the layers can be tuned over the range from 2.5 to 3.85 (measured at 600 nm and in-plane dark conductivities over the range from 10-8 S/cm to 1 S/cm, suggesting that these doped layers are suitable for solar cell applications. The p-type layers were tested in single junction amorphous silicon p-i-n type solar cells.

  4. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications

    Energy Technology Data Exchange (ETDEWEB)

    Boudot, Cécile, E-mail: cecile.boudot@tum.de [Technical University of Munich, Department of Mechanical Engineering, Boltzmannstraße 15, D-85748 Garching bei München (Germany); Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen [Institute for Plasma Technology and Mathematics, University of Federal Armed Forces Munich, Werner-Heisenberg-Weg 39, D-85577 Neubiberg (Germany)

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO{sub 2}) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150 nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO{sub 2} layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO{sub 2}-coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68 days and the coating's resistance to several sterilization methods. - Highlights: • Vacuum arc plasma was applied to deposit titanium dioxide films onto silicone. • Thickness, roughness and composition of the films were determined. • Cytocompatibility of coated silicone elastomer is greatly improved. • Films have good adhesion to the substrate and are stable, non-toxic and sterilizable.

  5. Aluminum-silicon co-deposition by FB-CVD on austenitic stainless steel AISI 316

    International Nuclear Information System (INIS)

    Marulanda, J L; Perez, F J; Remolina-Millán, A

    2013-01-01

    Aluminum-silicon coatings were deposited on stainless steel AISI 316 in the temperature range of 540 to 560°C by CVD-FBR. It was used a fluidized bed with 2.5% silicon and 7.5% aluminum powder and 90% inert (alumina). This bed was fluidized with Ar and as an activator a mixture of HCl/H2 in ratios of 1/10 to 1/16. Furthermore, the deposition time of the coatings was varied between 45 minutes to 1.5 hours, with a 50% active gas, neutral gases 50%. Thermodynamic simulation was conducted with the Thermocalc software to get the possible compositions and amount of material deposited for the chosen conditions. The coatings presented the follow compounds FeAl 2 Si, FeAl 2 and Fe 2 Al 5 . Aluminum-silicon coatings were heat treated to improve its mechanical properties and its behavior against oxidation for the inter diffusion of the alloying elements. The heat treatment causes the aluminum diffuse into the substrate and the iron diffuse into coating surface. This leads to the transformation of the above compounds in FeAl, Al 2 FeSi, Cr 3 Si, AlFeNi and AlCrFe

  6. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  7. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  8. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  9. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  10. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  11. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  12. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  13. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  14. Hot wire deposited hydrogenated amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Mahan, A.H.; Iwaniczko, E.; Nelson, B.P.; Reedy, R.C. Jr.; Crandall, R.S. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    This paper details the results of a study in which low H content, high deposition rate hot wire (HW) deposited amorphous silicon (a-Si:H) has been incorporated into a substrate solar cell. The authors find that the treatment of the top surface of the HW i layer while it is being cooled from its high deposition temperature is crucial to device performance. They present data concerning these surface treatments, and correlate these treatments with Schottky device performance. The authors also present first generation HW n-i-p solar cell efficiency data, where a glow discharge (GD) {mu}c-Si(p) layer was added to complete the partial devices. No light trapping layer was used to increase the device Jsc. Their preliminary investigations have yielded efficiencies of up to 6.8% for a cell with a 4000 {Angstrom} thick HW i-layer, which degrade less than 10% after a 900 hour light soak. The authors suggest avenues for further improvement of their devices.

  15. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  16. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  17. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  18. ANTIMONY INDUCED CRYSTALLIZATION OF AMORPHOUS SILICON

    Institute of Scientific and Technical Information of China (English)

    Y. Wang; H.Z. Li; C.N. Yu; G.M. Wu; I. Gordon; P. Schattschneider; O. Van Der Biest

    2007-01-01

    Antimony induced crystallization of PVD (physics vapor deposition) amorphous silicon can be observed on sapphire substrates. Very large crystalline regions up to several tens of micrometers can be formed. The Si diffraction patterns of the area of crystallization can be observed with TEM (transmission electron microscopy). Only a few and much smaller crystals of the order of 1μm were formed when the antimony layer was deposited by MBE(molecular beam epitaxy) compared with a layer formed by thermal evaporation. The use of high vacuum is essential in order to observe any Sb induced crystallization at all. In addition it is necessary to take measures to limit the evaporation of the antimony.

  19. Composition and optical properties tunability of hydrogenated silicon carbonitride thin films deposited by reactive magnetron sputtering

    Science.gov (United States)

    Bachar, A.; Bousquet, A.; Mehdi, H.; Monier, G.; Robert-Goumet, C.; Thomas, L.; Belmahi, M.; Goullet, A.; Sauvage, T.; Tomasella, E.

    2018-06-01

    Radiofrequency reactive magnetron sputtering was used to deposit hydrogenated amorphous silicon carbonitride (a-SiCxNy:H) at 400 °C by sputtering a silicon target under CH4 and N2 reactive gas mixture. Rutherford backscattering spectrometry revealed that the change of reactive gases flow rate (the ratio R = FN2/(FN2+FCH4)) induced a smooth chemical composition tunability from a silicon carbide-like film for R = 0 to a silicon nitride-like one at R = 1 with a large area of silicon carbonitrides between the two regions. The deconvolution of Fourier Transform InfraRed and X-ray photoelectron spectroscopy spectrum highlighted a shift of the chemical environment of the deposited films corresponding to the changes seen by RBS. The consequence of these observations is that a control of refractive index in the range of [1.9-2.5] at λ = 633 nm and optical bandgap in the range [2 eV-3.8 eV] have been obtained which induces that these coatings can be used as antireflective coatings in silicon photovoltaic cells.

  20. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  1. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  2. Facile Synthesis of Porous Silicon Nanofibers by Magnesium Reduction for Application in Lithium Ion Batteries.

    Science.gov (United States)

    Cho, Daehwan; Kim, Moonkyoung; Hwang, Jeonghyun; Park, Jay Hoon; Joo, Yong Lak; Jeong, Youngjin

    2015-12-01

    We report a facile fabrication of porous silicon nanofibers by a simple three-stage procedure. Polymer/silicon precursor composite nanofibers are first fabricated by electrospinning, a water-based spinning dope, which undergoes subsequent heat treatment and then reduction using magnesium to be converted into porous silicon nanofibers. The porous silicon nanofibers are coated with a graphene by using a plasma-enhanced chemical vapor deposition for use as an anode material of lithium ion batteries. The porous silicon nanofibers can be mass-produced by a simple and solvent-free method, which uses an environmental-friendly polymer solution. The graphene-coated silicon nanofibers show an improved cycling performance of a capacity retention than the pure silicon nanofibers due to the suppression of the volume change and the increase of electric conductivity by the graphene.

  3. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  4. Nickel Electroless Plating: Adhesion Analysis for Mono-Type Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Shin, Eun Gu; Rehman, Atteq ur; Lee, Sang Hee; Lee, Soo Hong

    2015-10-01

    The adhesion of the front electrodes to silicon substrate is the most important parameters to be optimized. Nickel silicide which is formed by sintering process using a silicon substrate improves the mechanical and electrical properties as well as act as diffusion barrier for copper. In this experiment p-type mono-crystalline czochralski (CZ) silicon wafers having resistivity of 1.5 Ω·cm were used to study one step and two step nickel electroless plating process. POCl3 diffusion process was performed to form the emitter with the sheet resistance of 70 ohm/sq. The Six, layer was set down as an antireflection coating (ARC) layer at emitter surface by plasma enhanced chemical vapor deposition (PECVD) process. Laser ablation process was used to open SiNx passivation layer locally for the formation of the front electrodes. Nickel was deposited by electroless plating process by one step and two step nickel electroless deposition process. The two step nickel plating was performed by applying a second nickel deposition step subsequent to the first sintering process. Furthermore, the adhesion analysis for both one step and two steps process was conducted using peel force tester (universal testing machine, H5KT) after depositing Cu contact by light induced plating (LIP).

  5. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  6. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-10-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  7. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-01-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  8. Stabilization and operation of porous silicon photonic structures from near-ultraviolet to near-infrared using high-pressure water vapor annealing

    International Nuclear Information System (INIS)

    Gelloz, Bernard; Koshida, Nobuyoshi

    2010-01-01

    The effects of high-pressure water vapor annealing (HWA), electrochemical oxidation, and substrate resistivity on the properties of porous silicon Bragg mirrors and photoluminescent cavities have been investigated. The photonic structures treated by HWA show very good stability upon ageing in air whereas as-formed structures exhibit significant drifts in their optical properties. Using HWA with lightly doped porous silicon, the structure transparency is enhanced sufficiently to enable the possible photonic operation in the near-ultraviolet. However, the index contrast achievable with these structures is very low in the visible and near-infrared. Useful index contrasts in this range can be achieved with either lightly doped porous silicon treated by electrochemical oxidation and HWA or heavily doped porous silicon treated by HWA.

  9. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  10. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  11. Metal/Carbon Hybrid Nanostructures Produced from Plasma-Enhanced Chemical Vapor Deposition over Nafion-Supported Electrochemically Deposited Cobalt Nanoparticles

    Directory of Open Access Journals (Sweden)

    Mohammad Islam

    2018-04-01

    Full Text Available In this work, we report development of hybrid nanostructures of metal nanoparticles (NP and carbon nanostructures with strong potential for catalysis, sensing, and energy applications. First, the etched silicon wafer substrates were passivated for subsequent electrochemical (EC processing through grafting of nitro phenyl groups using para-nitrobenzene diazonium (PNBT. The X-ray photoelectron spectroscope (XPS and atomic force microscope (AFM studies confirmed presence of few layers. Cobalt-based nanoparticles were produced over dip or spin coated Nafion films under different EC reduction conditions, namely CoSO4 salt concentration (0.1 M, 1 mM, reduction time (5, 20 s, and indirect or direct EC reduction route. Extensive AFM examination revealed NP formation with different attributes (size, distribution depending on electrochemistry conditions. While relatively large NP with >100 nm size and bimodal distribution were obtained after 20 s EC reduction in H3BO3 following Co2+ ion uptake, ultrafine NP (<10 nm could be produced from EC reduction in CoSO4 and H3BO3 mixed solution with some tendency to form oxides. Different carbon nanostructures including few-walled or multiwalled carbon nanotubes (CNT and carbon nanosheets were grown in a C2H2/NH3 plasma using the plasma-enhanced chemical vapor deposition technique. The devised processing routes enable size controlled synthesis of cobalt nanoparticles and metal/carbon hybrid nanostructures with unique microstructural features.

  12. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  13. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  14. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  15. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  16. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  17. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  18. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  19. Spin-on nanostructured silicon-silica film displaying room-temperature nanosecond lifetime photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, Y.; Hatton, B.; Miguez, H.; Coombs, N.; Fournier-Bidoz, S.; Ozin, G.A. [Materials Chemistry Research Group, Department of Chemistry, Lash Miller Chemical Laboratories, University of Toronto, 80 St. George Street, Toronto, Ontario, M5S 3H6 (Canada); Grey, J.K.; Beaulac, R.; Reber, C. [Department of Chemistry, University of Montreal, Montreal, Quebec H3C 3J7 (Canada)

    2003-04-17

    A yellow transparent mesoporous silica film has been achieved by the incorporation of silicon nanoclusters into its channels. The resulting nanocomposite - fabricated using a combination of evaporation induced self- assembly and chemical vapor deposition - emits light brightly at visible wavelengths and has nanosecond radiative lifetimes at room temperature when excited by ultraviolet light (see Figure). (Abstract Copyright [2003], Wiley Periodicals, Inc.)

  20. Effects of plasma-deposited silicon nitride passivation on the radiation hardness of CMOS integrated circuits

    International Nuclear Information System (INIS)

    Clement, J.J.

    1980-01-01

    The use of plasma-deposited silicon nitride as a final passivation over metal-gate CMOS integrated circuits degrades the radiation hardness of these devices. The hardness degradation is manifested by increased radiation-induced threshold voltage shifts caused principally by the charging of new interface states and, to a lesser extent, by the trapping of holes created upon exposure to ionizing radiation. The threshold voltage shifts are a strong function of the deposition temperature, and show very little dependence on thickness for films deposited at 300 0 C. There is some correlation between the threshold voltage shifts and the hydrogen content of the PECVD silicon nitride films used as the final passivation layer as a function of deposition temperature. The mechanism by which the hydrogen contained in these films may react with the Si/SiO 2 interface is not clear at this point

  1. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications.

    Science.gov (United States)

    Boudot, Cécile; Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO 2 ) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO 2 layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO 2 -coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68days and the coating's resistance to several sterilization methods. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Poly(3-hexylthiophene) films by electrospray deposition for crystalline silicon/organic hybrid junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hiate, Taiga; Miyauchi, Naoto; Tang, Zeguo; Ishikawa, Ryo; Ueno, Keiji; Shirai, Hajime [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura, Saitama 858-3676 (Japan)

    2012-10-15

    The electrospray deposition (ESD) of poly(3-hexylthiophene) (P3HT) and conductive poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) on P3HT for use in crystalline silicon/organic hybrid heterojunction solar cells on CZ crystalline silicon (c-Si) (100) wafer was investigated using real-time characterization by spectroscopic ellipsometry (SE). In contrast to the nonuniform deposition of products frequently obtained by conventional spin-coating, a uniform deposition of P3HT and PEDOT:PSS films were achieved on flat and textured hydrophobic c-Si(100) wafers by adjusting the deposition conditions. The c-Si/P3HT/PEDOT:PSS heterojunction solar cells exhibited efficiencies of 4.1 and 6.3% on flat and textured c-Si(100) wafers, respectively. These findings suggest that ESD is a promising method for the uniform deposition of P3HT and PEDOT:PSS films on flat and textured hydrophobic substrates. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Near infrared photoluminescence of the hydrogenated amorphous silicon thin films with in-situ embedded silicon nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Remeš, Zdeněk; Stuchlík, Jiří; Purkrt, Adam; Ledinský, Martin; Kupčík, Jaroslav

    2017-01-01

    Roč. 61, č. 2 (2017), s. 136-140 ISSN 0862-5468 R&D Projects: GA ČR GC16-10429J Grant - others:AV ČR(CZ) KONNECT-007 Program:Bilaterální spolupráce Institutional support: RVO:68378271 ; RVO:61388980 Keywords : amorphous silicon * chemical vapor deposition * photothermal deflection spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism; CA - Inorganic Chemistry (UACH-T) OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.); Inorganic and nuclear chemistry (UACH-T) Impact factor: 0.439, year: 2016

  4. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  5. Synthesis of silane and silicon in a non-equilibrium plasma jet

    Science.gov (United States)

    Calcote, H. F.; Felder, W.

    1977-01-01

    The feasibility of using a non-equilibrium hydrogen plasma jet as a chemical synthesis tool was investigated. Four possible processes were identified for further study: (1) production of polycrystalline silicon photovoltaic surfaces, (2) production of SiHCl3 from SiCl4, (3) production of SiH4 from SiHCl3, and (4) purification of SiCl4 by metal impurity nucleation. The most striking result was the recognition that the strongly adhering silicon films, amorphous or polycrystalline, produced in our studies could be the basis for preparing a photovoltaic surface directly; this process has potential advantages over other vapor deposition processes.

  6. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  7. Low-temperature synthesis of homogeneous nanocrystalline cubic silicon carbide films

    International Nuclear Information System (INIS)

    Cheng Qijin; Xu, S.

    2007-01-01

    Silicon carbide films are fabricated by inductively coupled plasma chemical vapor deposition from feedstock gases silane and methane heavily diluted with hydrogen at a low substrate temperature of 300 deg. C. Fourier transform infrared absorption spectroscopy, Raman spectroscopy, x-ray photoelectron spectroscopy, and high-resolution transmission electron microscopy analyses show that homogeneous nanocrystalline cubic silicon carbide (3C-SiC) films can be synthesized at an appropriate silane fraction X[100%xsilane flow(SCCM)/silane+methane flow(SCCM)] in the gas mixture. The achievement of homogeneous nanocrystalline 3C-SiC films at a low substrate temperature of 300 deg. C is a synergy of a low deposition pressure (22 mTorr), high inductive rf power (2000 W), heavy dilution of feedstock gases silane and methane with hydrogen, and appropriate silane fractions X (X≤33%) in the gas mixture employed in our experiments

  8. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  9. Role of a-Si:H in lateral growth of crystalline silicon nanowires using Pb and In catalysts

    Czech Academy of Sciences Publication Activity Database

    Kočka, Jan; Müller, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Červenka, Jiří; Fejfar, Antonín

    2016-01-01

    Roč. 213, č. 7 (2016), s. 1821-1825 ISSN 1862-6300 R&D Projects: GA ČR GA16-12355S Institutional support: RVO:68378271 Keywords : amorphous films * catalysts * chemical vapor deposition * amorphous silicon Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.775, year: 2016

  10. Wide-bandgap epitaxial heterojunction windows for silicon solar cells

    Science.gov (United States)

    Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.

    1990-01-01

    It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.

  11. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  12. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  13. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  14. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  15. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  16. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  17. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  18. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  19. Influence of deposition temperature of thermal ALD deposited Al2O3 films on silicon surface passivation

    Directory of Open Access Journals (Sweden)

    Neha Batra

    2015-06-01

    Full Text Available The effect of deposition temperature (Tdep and subsequent annealing time (tanl of atomic layer deposited aluminum oxide (Al2O3 films on silicon surface passivation (in terms of surface recombination velocity, SRV is investigated. The pristine samples (as-deposited show presence of positive fixed charges, QF. The interface defect density (Dit decreases with increase in Tdep which further decreases with tanl up to 100s. An effective surface passivation (SRV<8 cm/s is realized for Tdep ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized by high thermal budget process (tanl between 10 to 30 min.

  20. Mass transfer in silicon at deposition of Ti thin films assisted by self ion irradiation

    International Nuclear Information System (INIS)

    Mikhalkovich, O.M.; Tashlykov, I.S.; Gusakov, V.E.

    2011-01-01

    In this paper a composite structure, processes of diffusion in Si, modified by means of ion-assisted deposition of coatings in conditions of a self-irradiation are discussed. Rutherford backscattering in combination with a channelling (RBS/Ch) of He + ions and computer program RUMP were applied to investigate an element composition. It is established, that coatings include atoms of metal, hydrogen, carbon, oxygen, silicon. The interstitial Si atoms, generated by radiation effect, diffuse during deposition of thin coating, both in a depth of a wafers, and in coatings. The influence of irradiation of ions Xe+ on diffusion processes in silicon are revealed. (authors)

  1. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  2. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  3. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  4. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  5. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  6. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  7. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  8. Chemical vapor deposition and electric characterization of perovskite oxides LaMO3 (M=Co, Fe, Cr and Mn) thin films

    International Nuclear Information System (INIS)

    Ngamou, Patrick Herve Tchoua; Bahlawane, Naoufal

    2009-01-01

    Oxides with a perovskite structure are important functional materials often used for the development of modern devices. In view of extending their applicability, it is necessary to efficiently control their growth as thin films using technologically relevant synthesis methods. Pulsed spray evaporation CVD was used to grow several perovskite-type oxides on planar silicon substrates at temperatures ranging from 500 to 700 deg. C. The optimization of the process control parameters allows the attainment of the perovskite structure as a single phase. The electrical characterization using the temperature-dependent conductivity and thermopower indicates the p-type conduction of the grown films and shows a decreasing concentration of the charge carrier, mobility and band gap energy in the sequence LaCoO 3 >LaMnO 3 >LaCrO 3 >LaFeO 3 . The investigation of the electric properties of the obtained perovskite thin films shows the versatility of CVD as a method for the development of innovative devices. - Graphical abstract: We report a single step deposition of perovskite thin films LaMO 3 (M: Co, Mn, Cr, Fe) using pulsed spray evaporation chemical vapor deposition. Electrical and thermopower properties, similar to these of bulk materials, could promote the development of modern thermoelectric devices based on thin films technology.

  9. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  10. Effect of aromatic SAMs molecules on graphene/silicon schottky diode performance

    OpenAIRE

    Yağmurcukardeş, Nesli; Aydın, Hasan; Can, Mustafa; Yanılmaz, Alper; Mermer, Ömer; Okur, Salih; Selamet, Yusuf

    2016-01-01

    Au/n-Si/Graphene/Au Schottky diodes were fabricated by transferring atmospheric pressure chemical vapor deposited (APCVD) graphene on silicon substrates. Graphene/n-Si interface properties were improved by using 5-[(3-methylphenyl)(phenyl) amino]isophthalic acid (MePIFA) and 5-(diphenyl)amino]isophthalic acid (DPIFA) aromatic self-assembled monolayer (SAM) molecules. The surface morphologies of modified and non-modified films were investigated by atomic force microscopy and scanning electron ...

  11. Using Py-GC/MS to detect and measure silicone defoamers in pulp fibres and mill deposits

    CSIR Research Space (South Africa)

    Sithole, Bruce

    2013-09-01

    Full Text Available in deposits. In this work, for the first time, Py-GC/MS has been used to analyse for silicone defoamers in pulp and paper matrices. This work demonstrates that the technique is ideal for analysis and characterisation of silicone defoamers on pulp fibres...

  12. Microscratch testing method for systematic evaluation of the adhesion of atomic layer deposited thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kilpi, Lauri, E-mail: Lauri.Kilpi@vtt.fi; Ylivaara, Oili M. E.; Vaajoki, Antti; Puurunen, Riikka L.; Ronkainen, Helena [VTT Technical Research Centre of Finland Ltd., P.O. Box 1000, FI-02044 VTT (Finland); Malm, Jari [Department of Physics, University of Jyväskylä, P.O. Box 35, Jyväskylä 40014 (Finland); Sintonen, Sakari [Department of Micro- and Nanosciences, Aalto University School of Electrical Engineering, P.O. Box 13500, FI-00076 AALTO (Finland); Tuominen, Marko [ASM Microchemistry Oy, Pietari Kalmin katu 1 F 2, FIN-00560 Helsinki (Finland)

    2016-01-15

    The scratch test method is widely used for adhesion evaluation of thin films and coatings. Usual critical load criteria designed for scratch testing of coatings were not applicable to thin atomic layer deposition (ALD) films on silicon wafers. Thus, the bases for critical load evaluation were established and the critical loads suitable for ALD coating adhesion evaluation on silicon wafers were determined in this paper as L{sub CSi1}, L{sub CSi2}, L{sub CALD1}, and L{sub CALD2}, representing the failure points of the silicon substrate and the coating delamination points of the ALD coating. The adhesion performance of the ALD Al{sub 2}O{sub 3}, TiO{sub 2}, TiN, and TaCN+Ru coatings with a thickness range between 20 and 600 nm and deposition temperature between 30 and 410 °C on silicon wafers was investigated. In addition, the impact of the annealing process after deposition on adhesion was evaluated for selected cases. The tests carried out using scratch and Scotch tape test showed that the coating deposition and annealing temperature, thickness of the coating, and surface pretreatments of the Si wafer had an impact on the adhesion performance of the ALD coatings on the silicon wafer. There was also an improved load carrying capacity due to Al{sub 2}O{sub 3}, the magnitude of which depended on the coating thickness and the deposition temperature. The tape tests were carried out for selected coatings as a comparison. The results show that the scratch test is a useful and applicable tool for adhesion evaluation of ALD coatings, even when carried out for thin (20 nm thick) coatings.

  13. Observation of a photoinduced, resonant tunneling effect in a carbon nanotube–silicon heterojunction

    Directory of Open Access Journals (Sweden)

    Carla Aramo

    2015-03-01

    Full Text Available A significant resonant tunneling effect has been observed under the 2.4 V junction threshold in a large area, carbon nanotube–silicon (CNT–Si heterojunction obtained by growing a continuous layer of multiwall carbon nanotubes on an n-doped silicon substrate. The multiwall carbon nanostructures were grown by a chemical vapor deposition (CVD technique on a 60 nm thick, silicon nitride layer, deposited on an n-type Si substrate. The heterojunction characteristics were intensively studied on different substrates, resulting in high photoresponsivity with a large reverse photocurrent plateau. In this paper, we report on the photoresponsivity characteristics of the device, the heterojunction threshold and the tunnel-like effect observed as a function of applied voltage and excitation wavelength. The experiments are performed in the near-ultraviolet to near-infrared wavelength range. The high conversion efficiency of light radiation into photoelectrons observed with the presented layout allows the device to be used as a large area photodetector with very low, intrinsic dark current and noise.

  14. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  16. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  17. Top-gate microcrystalline silicon TFTs processed at low temperature (<200 deg. C)

    International Nuclear Information System (INIS)

    Saboundji, A.; Coulon, N.; Gorin, A.; Lhermite, H.; Mohammed-Brahim, T.; Fonrodona, M.; Bertomeu, J.; Andreu, J.

    2005-01-01

    N-type as well P-type top-gate microcrystalline silicon thin film transistors (TFTs) are fabricated on glass substrates at a maximum temperature of 200 deg. C. The active layer is an undoped μc-Si film, 200 nm thick, deposited by Hot-Wire Chemical Vapor. The drain and source regions are highly phosphorus (N-type TFTs) or boron (P-type TFTs)-doped μc-films deposited by HW-CVD. The gate insulator is a silicon dioxide film deposited by RF sputtering. Al-SiO 2 -N type c-Si structures using this insulator present low flat-band voltage,-0.2 V, and low density of states at the interface D it =6.4x10 10 eV -1 cm -2 . High field effect mobility, 25 cm 2 /V s for electrons and 1.1 cm 2 /V s for holes, is obtained. These values are very high, particularly the hole mobility that was never reached previously

  18. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  19. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H.

    2007-03-01

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis

  20. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H. [KAIST, Daejeon (Korea, Republic of)

    2007-03-15

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis.