WorldWideScience

Sample records for vapor deposited pvd

  1. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  2. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  3. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  4. Simultaneous Co-deposition of Zn-Mg Alloy Layers on Steel Strip by PVD Process

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tae Yeob [POSCO Technical Research Laboratories, Gwangyang (Korea, Republic of); Goodenough, Mark [Strategic Marketing, Tata Steel, Warwickshire (United Kingdom)

    2011-12-15

    This is the first release of an interim report on the development of coating technology of Zn-Mg alloy layers on steel strip by EML-PVD (electromagnetic levitation - physical vapor deposition) process in an air-to-air type continuous PVD pilot plant. It intends to introduce a basic principle of the EML-PVD process together with the high speed PVD pilot plant built in Posco. Due to the agitation effect provided by the high frequency induction coil, simultaneous evaporation of Zn and Mg from a droplet could produce alloy coating layers with Mg content of 6% to 12% depending on the composition of the droplet inside the coil. For its superior corrosion resistance, Zn-Mg alloy coated steel would be a very promising material for automotive, electrical appliances, and construction applications.

  5. Simultaneous Co-deposition of Zn-Mg Alloy Layers on Steel Strip by PVD Process

    International Nuclear Information System (INIS)

    Kim, Tae Yeob; Goodenough, Mark

    2011-01-01

    This is the first release of an interim report on the development of coating technology of Zn-Mg alloy layers on steel strip by EML-PVD (electromagnetic levitation - physical vapor deposition) process in an air-to-air type continuous PVD pilot plant. It intends to introduce a basic principle of the EML-PVD process together with the high speed PVD pilot plant built in Posco. Due to the agitation effect provided by the high frequency induction coil, simultaneous evaporation of Zn and Mg from a droplet could produce alloy coating layers with Mg content of 6% to 12% depending on the composition of the droplet inside the coil. For its superior corrosion resistance, Zn-Mg alloy coated steel would be a very promising material for automotive, electrical appliances, and construction applications

  6. 7YSZ coating prepared by PS-PVD based on heterogeneous nucleation

    Directory of Open Access Journals (Sweden)

    Ziqian DENG

    2018-04-01

    Full Text Available Plasma spray-physical vapor deposition (PS-PVD as a novel coating process based on low-pressure plasma spray (LPPS has been significantly used for thermal barrier coatings (TBCs. A coating can be deposited from liquid splats, nano-sized clusters, and the vapor phase forming different structured coatings, which shows obvious advantages in contrast to conventional technologies like atmospheric plasma spray (APS and electron beam-physical vapor deposition (EB-PVD. In addition, it can be used to produce thin, dense, and porous ceramic coatings for special applications because of its special characteristics, such as high power, very low pressure, etc. These provide new opportunities to obtain different advanced microstructures, thus to meet the growing requirements of modern functional coatings. In this work, focusing on exploiting the potential of gas-phase deposition from PS-PVD, a series of 7YSZ coating experiments with various process conditions was performed in order to better understand the deposition process in PS-PVD, where coatings were deposited on different substrates including graphite and zirconia. Meanwhile, various substrate temperatures were investigated for the same substrate. As a result, a deposition mechanism of heterogeneous nucleation has been presented showing that surface energy is an important influencing factor for coating structures. Besides, undercooling of the interface between substrate and vapor phase plays an important role in coating structures. Keywords: 7YSZ, Deposition mechanism, Heterogeneous nucleation, PS-PVD, TBC

  7. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  8. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  9. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  10. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  11. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  12. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  13. Physical vapor deposited films of a perylene derivative: supramolecular arrangement and thermal stability

    Energy Technology Data Exchange (ETDEWEB)

    Fernandes, Jose Diego; Alessio, Priscila; Silva, Matheus Rodrigues Medeiros; Aroca, Ricardo Flavio; Souza, Agda Eunice de; Constantino, Carlos Jose Leopoldo, E-mail: case@fct.unesp.br [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), Presidente Prudente, SP (Brazil). Dept. de Fisica

    2017-07-15

    The analysis of supramolecular arrangement is essential to understand the role of this key factor on the optical and electrical properties of organic thin films. In this work, thin solid films of bis(phenethylimido) perylene (PhPTCD) fabricated using physical vapor deposition (PVD) technique (thermal evaporation), deposited simultaneously onto different substrates (Ag mirror, Ge, and quartz plates) contingent on the characterization technique. The main objective is to study the PhPTCD supramolecular arrangement and the thermal stability of this arrangement in PVD films. The ultraviolet-visible absorption reveals a controlled growth of the PVD films, and the micro-Raman scattering data show that the PhPTCD molecule is not thermally degraded in the conditions of these experiments. The microscopy also shows a homogeneous morphological surface of the PVD film at macro and micro scales, with molecular aggregates at nanoscale. Besides, the PVD film roughness does not follow substrate roughness. The X-ray diffraction indicates a crystalline structure for PhPTCD powder and an amorphous form for PhPTCD PVD film. The infrared absorption spectroscopy points to a preferential flat-on organization of the molecules in the PVD films. In addition, the annealing process (200 deg C for 20 minutes) does not affect the supramolecular arrangement of the PhPTCD PVD films. (author)

  14. Physical vapor deposited films of a perylene derivative: supramolecular arrangement and thermal stability

    International Nuclear Information System (INIS)

    Fernandes, Jose Diego; Alessio, Priscila; Silva, Matheus Rodrigues Medeiros; Aroca, Ricardo Flavio; Souza, Agda Eunice de; Constantino, Carlos Jose Leopoldo

    2017-01-01

    The analysis of supramolecular arrangement is essential to understand the role of this key factor on the optical and electrical properties of organic thin films. In this work, thin solid films of bis(phenethylimido) perylene (PhPTCD) fabricated using physical vapor deposition (PVD) technique (thermal evaporation), deposited simultaneously onto different substrates (Ag mirror, Ge, and quartz plates) contingent on the characterization technique. The main objective is to study the PhPTCD supramolecular arrangement and the thermal stability of this arrangement in PVD films. The ultraviolet-visible absorption reveals a controlled growth of the PVD films, and the micro-Raman scattering data show that the PhPTCD molecule is not thermally degraded in the conditions of these experiments. The microscopy also shows a homogeneous morphological surface of the PVD film at macro and micro scales, with molecular aggregates at nanoscale. Besides, the PVD film roughness does not follow substrate roughness. The X-ray diffraction indicates a crystalline structure for PhPTCD powder and an amorphous form for PhPTCD PVD film. The infrared absorption spectroscopy points to a preferential flat-on organization of the molecules in the PVD films. In addition, the annealing process (200 deg C for 20 minutes) does not affect the supramolecular arrangement of the PhPTCD PVD films. (author)

  15. Metallic Conductive Nanowires Elaborated by PVD Metal Deposition on Suspended DNA Bundles.

    Science.gov (United States)

    Brun, Christophe; Elchinger, Pierre-Henri; Nonglaton, Guillaume; Tidiane-Diagne, Cheikh; Tiron, Raluca; Thuaire, Aurélie; Gasparutto, Didier; Baillin, Xavier

    2017-09-01

    Metallic conductive nanowires (NWs) with DNA bundle core are achieved, thanks to an original process relying on double-stranded DNA alignment and physical vapor deposition (PVD) metallization steps involving a silicon substrate. First, bundles of DNA are suspended with a repeatable process between 2 µm high parallel electrodes with separating gaps ranging from 800 nm to 2 µm. The process consists in the drop deposition of a DNA lambda-phage solution on the electrodes followed by a naturally evaporation step. The deposition process is controlled by the DNA concentration within the buffer solution, the drop volume, and the electrode hydrophobicity. The suspended bundles are finally metallized with various thicknesses of titanium and gold by a PVD e-beam evaporation process. The achieved NWs have a width ranging from a few nanometers up to 100 nm. The electrical behavior of the achieved 60 and 80 nm width metallic NWs is shown to be Ohmic and their intrinsic resistance is estimated according to different geometrical models of the NW section area. For the 80 nm width NWs, a resistance of about few ohms is established, opening exploration fields for applications in microelectronics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  17. New PVD Technologies for New Ordnance Coatings

    Science.gov (United States)

    2012-04-01

    characteristics using a Tantalum and a Chrome target; 4) Deposition of Ta coatings and reactive deposition of CrN; 5) Deposition parameters affecting film...Vapor Deposition (PVD); High Power Impulse Magnetron Sputtering (HIPIMS); Modulated Pulsed Power (MPP); Tantalum; Chrome ; Ta coatings; CrN; coating...The pre-production chemicals and acids are hazardous and hexavalent Cr is a known carcinogen. Significant annual expenditures are necessary to

  18. Structure and corrosion properties of PVD Cr-N coatings

    CERN Document Server

    Liu, C; Ziegele, H; Leyland, A; Matthews, A

    2002-01-01

    PVD Cr-N coatings produced by physical vapor deposition (PVD) are increasingly used for mechanical and tribological applications in various industrial sectors. These coatings are particularly attractive for their excellent corrosion resistance, which further enhances the lifetime and service quality of coated components. PVD Cr-N coated steels in an aqueous solution are usually corroded by galvanic attack via through-coating 'permeable' defects (e.g., pores). Therefore, the corrosion performance of Cr-N coated steel is determined by a number of variables of the coating properties and corrosive environment. These variables include: (i) surface continuity and uniformity; (ii) through-coating porosity; (iii) film density and chemical stability; (iv) growth stresses; (v) interfacial and intermediate layers; (vi) coating thickness; (vii) coating composition; and (viii) substrate properties. In this article, PVD Cr-N coatings were prepared, by electron-beam PVD and sputter deposition, with different compositions, t...

  19. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  20. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  1. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  2. Nanograined Net-Shaped Fabrication of Rhenium Components by EB-PVD

    International Nuclear Information System (INIS)

    Singh, Jogender; Wolfe, Douglas E.

    2004-01-01

    Cost-effective net-shaped forming components have brought considerable interest into DoD, NASA and DoE. Electron beam physical vapor deposition (EB-PVD) offers flexibility in forming net-shaped components with tailored microstructure and chemistry. High purity rhenium (Re) components including rhenium-coated graphite balls, Re- plates and tubes have been successfully manufactured by EB-PVD. EB-PVD Re components exhibited sub-micron and nano-sized grains with high hardness and strength as compared to CVD. It is estimated that the cost of Re components manufactured by EB-PVD would be less than the current CVD and powder-HIP Technologies

  3. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  4. Structure And Properties Of PVD Coatings Deposited On Cermets

    Directory of Open Access Journals (Sweden)

    Żukowska L.

    2015-06-01

    Full Text Available The main aim of the research is the investigation of the structure and properties of single-layer and gradient coatings of the type (Ti,AlN and Ti(C,N deposited by physical vapour deposition technology (PVD on the cermets substrate.

  5. Microstructural, mechanical and oxidation features of NiCoCrAlY coating produced by plasma activated EB-PVD

    International Nuclear Information System (INIS)

    He, Jian; Guo, Hongbo; Peng, Hui; Gong, Shengkai

    2013-01-01

    NiCoCrAlY coatings produced by electron beam-physical vapor deposition (EB-PVD) have been extensively used as the oxidation resistance coatings or suitable bond coats in thermal barrier coating (TBC) system. However, the inherent imperfections caused by EB-PVD process degrade the oxidation resistance of the coatings. In the present work, NiCoCrAlY coatings were creatively produced by plasma activated electron beam-physical vapor deposition (PA EB-PVD). The novel coatings showed a terraced substructure on the surface of each grain due to the increased energy of metal ions and enhanced mobility of adatoms. Also a strong (1 1 1) crystallographic texture of γ/γ′ grains was observed. The toughness of the coatings got remarkably improved compared with the coatings deposited by conventional EB-PVD and the oxidation behavior at 1373 K showed that the novel coatings had excellent oxidation resistance. The possible mechanism was finally discussed.

  6. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  7. Physical vapor deposited thin films of lignins extracted from sugar cane bagasse: morphology, electrical properties, and sensing applications.

    Science.gov (United States)

    Volpati, Diogo; Machado, Aislan D; Olivati, Clarissa A; Alves, Neri; Curvelo, Antonio A S; Pasquini, Daniel; Constantino, Carlos J L

    2011-09-12

    The concern related to the environmental degradation and to the exhaustion of natural resources has induced the research on biodegradable materials obtained from renewable sources, which involves fundamental properties and general application. In this context, we have fabricated thin films of lignins, which were extracted from sugar cane bagasse via modified organosolv process using ethanol as organic solvent. The films were made using the vacuum thermal evaporation technique (PVD, physical vapor deposition) grown up to 120 nm. The main objective was to explore basic properties such as electrical and surface morphology and the sensing performance of these lignins as transducers. The PVD film growth was monitored via ultraviolet-visible (UV-vis) absorption spectroscopy and quartz crystal microbalance, revealing a linear relationship between absorbance and film thickness. The 120 nm lignin PVD film morphology presented small aggregates spread all over the film surface on the nanometer scale (atomic force microscopy, AFM) and homogeneous on the micrometer scale (optical microscopy). The PVD films were deposited onto Au interdigitated electrode (IDE) for both electrical characterization and sensing experiments. In the case of electrical characterization, current versus voltage (I vs V) dc measurements were carried out for the Au IDE coated with 120 nm lignin PVD film, leading to a conductivity of 3.6 × 10(-10) S/m. Using impedance spectroscopy, also for the Au IDE coated with the 120 nm lignin PVD film, dielectric constant of 8.0, tan δ of 3.9 × 10(-3), and conductivity of 1.75 × 10(-9) S/m were calculated at 1 kHz. As a proof-of-principle, the application of these lignins as transducers in sensing devices was monitored by both impedance spectroscopy (capacitance vs frequency) and I versus time dc measurements toward aniline vapor (saturated atmosphere). The electrical responses showed that the sensing units are sensible to aniline vapor with the process being

  8. Frozen-in vacancies in PVD-Cu films with improved high-pressure reflowability studied using a slow positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Yabuuchi, A; Kubo, D; Mizuno, M; Araki, H [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Onishi, T [Materials Research Laboratory, Kobe Steel Ltd., 5-5 Takatsukadai 1-chome, Nishi-ku, Kobe, Hyogo 651-2271 (Japan); Shirai, Y [Department of Materials Science and Engineering, Graduate School of Engineering, Kyoto University, Yoshida-Honmachi, Sakyo-ku, Kyoto 606-8501 (Japan)], E-mail: atsushi.yabuuchi@mat.eng.osaka-u.ac.jp

    2009-05-01

    Recently, a new process has been proposed for fabricating a LSI interconnection; filling trenches and via holes with Cu using high-pressure annealing treatment. It is already known that a Cu film produced by physical vapor deposition (PVD) has a lower reflowability compared to a Cu film produced by electrochemical deposition (ECD). Additionally, it has also been recognized that the addition of Sb to the PVD-Cu film improves the reflowability. However, the factors responsible for the reflowability of Cu films have not yet been studied. In this work, we evaluated a PVD pure-Cu film and a PVD Cu-0.5at%Sb film by using a slow positron beam. Addition of Sb led to the introduction of lattice defects in the as-deposited film. These defects that were observed in the PVD-CuSb dilute alloy film were identified as frozen-in vacancies that were produced during deposition.

  9. Frozen-in vacancies in PVD-Cu films with improved high-pressure reflowability studied using a slow positron beam

    International Nuclear Information System (INIS)

    Yabuuchi, A; Kubo, D; Mizuno, M; Araki, H; Onishi, T; Shirai, Y

    2009-01-01

    Recently, a new process has been proposed for fabricating a LSI interconnection; filling trenches and via holes with Cu using high-pressure annealing treatment. It is already known that a Cu film produced by physical vapor deposition (PVD) has a lower reflowability compared to a Cu film produced by electrochemical deposition (ECD). Additionally, it has also been recognized that the addition of Sb to the PVD-Cu film improves the reflowability. However, the factors responsible for the reflowability of Cu films have not yet been studied. In this work, we evaluated a PVD pure-Cu film and a PVD Cu-0.5at%Sb film by using a slow positron beam. Addition of Sb led to the introduction of lattice defects in the as-deposited film. These defects that were observed in the PVD-CuSb dilute alloy film were identified as frozen-in vacancies that were produced during deposition.

  10. Vapor phase coatings of metals and organics for laser fusion target applications

    International Nuclear Information System (INIS)

    Simonsic, G.A.; Powell, B.W.

    Techniques for applying a variety of metal and organic coatings to 50- to 500 μm diameter glass micro-balloons are discussed. Coating thicknesses vary from 1- to 10 μm. Physical vapor deposition (PVD), chemical vapor deposition (CVD), and electrolytic and electroless plating are some of the techniques being evaluated for metal deposition. PVD and glow discharge polymerization are being used for the application of organic coatings. (U.S.)

  11. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  12. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  13. Thermocyclic behaviour of microstructurally modified EB-PVD thermal barrier coatings

    International Nuclear Information System (INIS)

    Schulz, U.; Fritscher, K.; Raetzer-Scheibe, H.-J.; Kaysser, W.A.; Peters, M.

    1997-01-01

    This paper focuses on the combined effects of substrate temperature and rotation during electron-beam physical vapor deposition (EB-PVD) on the columnar microstructure of yttria partially stabilized zirconia (YPSZ) thermal barrier coatings. Diameter and degree of ordering of the columns and the density of the coatings are sensitive to the processing parameters. Results are discussed in the frame of common structural zone models for PVD processes. The models are extended to consider the rotational effect. EB-PVD YPSZ TBCs of different column diameters were deposited on top of an EB-PVD NiCoCrAlY bondcoat on IN 100 superalloy test bars. The performance of the TBCs was investigated in a cyclic oxidation furnace test rig between 1100 C and 130 C and in a burner rig under hot gas corrosion conditions at a maximum temperature of 900 C. Results showed a correlation between cyclic lifetime and the various microstructures of the TBCs. Samples having a non-regular arrangement of columns performed best in both tests. (orig.)

  14. Synthesis of nanocrystalline Cu1-xTax composites using physical vapor deposition

    International Nuclear Information System (INIS)

    Savage, H.S.; Wang, H.; Rigsbee, J.M.

    1993-01-01

    Physical vapor deposition (PVD) processes provide the capability for creating new types of metallic, ceramic, and polymeric composites by allowing atomic-scale engineering of structure and chemistry. Because PVD processes provide the capacity for circumventing thermodynamic factors, such as solubility limits, it is possible to produce nonequilibrium alloys and materials with unique mixtures of phases. The ease by which PVD produces materials with nanocrystalline microstructures is an added benefit of these processes. This paper describes ion plating, a plasma-assisted PVD process, and its application for the development of a new class of nanoscale dispersion-strengthened Cu 1-x Ta x alloys. Copper-tantalum was selected as a model system because the extensive liquid miscibility gap and nearly zero mutual solid solubilities prevent creation of Cu-Ta alloys by conventional or rapid solidification processes. Microchemical analyses of the family of Cu 1-x Ta x alloys indicate that PVD can produce materials with any desired level of Ta. X-ray diffraction and transmission electron microscopy analyses show that the as-deposited microstructures consist generally of a Cu matrix supersaturated with Ta and containing a uniform dispersion of Ta particles with diameters below 10 nm. The Ta particles are face centered cubic (exceptionally large Ta particles, larger than ∼100 nm, are body centered cubic) and are oriented identically with the Cu matrix. Particle coarsening studies, at temperatures up to 900C and for times as long as 100 hours, indicate an extreme degree of microstructural stability. The Ta particles also appear highly effective at maintaining a submicron Cu matrix grain size even after annealing at 900C

  15. Metallographic techniques for evaluation of Thermal Barrier Coatings produced by Electron Beam Physical Vapor Deposition

    International Nuclear Information System (INIS)

    Kelly, Matthew; Singh, Jogender; Todd, Judith; Copley, Steven; Wolfe, Douglas

    2008-01-01

    Thermal Barrier Coatings (TBC) produced by Electron Beam Physical Vapor Deposition (EB-PVD) are primarily applied to critical hot section turbine components. EB-PVD TBC for turbine applications exhibit a complicated structure of porous ceramic columns separated by voids that offers mechanical compliance. Currently there are no standard evaluation methods for evaluating EB-PVD TBC structure quantitatively. This paper proposes a metallographic method for preparing samples and evaluating techniques to quantitatively measure structure. TBC samples were produced and evaluated with the proposed metallographic technique and digital image analysis for columnar grain size and relative intercolumnar porosity. Incorporation of the proposed evaluation technique will increase knowledge of the relation between processing parameters and material properties by incorporating a structural link. Application of this evaluation method will directly benefit areas of quality control, microstructural model development, and reduced development time for process scaling

  16. Effects of synchrotron x-rays on PVD deposited and ion implanted α-Si

    International Nuclear Information System (INIS)

    Yu, K.M.; Wang, L.; Walukiewicz, W.; Muto, S.; McCormick, S.; Abelson, J.R.

    1997-01-01

    The authors have studied the effects of intense X-ray irradiation on the structure of amorphous Si films. The films were obtained by either physical vapor deposition or by implantation of high energy ions into crystalline Si. They were exposed to different total doses of synchrotron X-rays. From the EXAFS and EXELFS measurements they find that an exposure to X-rays increases the Si coordination number. Also in the PVD films a prolonged X-ray exposure enlarges, by about 2%, the Si-Si bond length. Raman spectroscopy shows that Si amorphized with high energy ions contains small residual amounts of crystalline material. Irradiation of such films with X-rays annihilates those crystallites resulting in homogeneously amorphous layer with a close to four-fold coordination of Si atoms. This rearrangement of the local structure has a pronounced effect on the crystallization process of the amorphous films. Thermal annealing of X-ray irradiated ion amorphized films leads to nearly defect free solid phase epitaxy at 500 C. Also they observe a delay in the onset of the crystallization process in X-ray irradiated PVD films. They associate this with a reduced concentration of nucleation centers in the x-ray treated materials

  17. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    Science.gov (United States)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  18. High speed PVD thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Beele, W. [Sulzer Metco Coatings BV (Netherlands); Eschendorff, G. [Sulzer Metco Coatings BV (Netherlands); Eldim BV (Netherlands)

    2006-07-15

    The high speed PVD process (HS-PVD) combines gas phase coating synthesis with high deposition rates. The process has been demonstrated for high purity YSZ deposited as a chemically bonded top thermal barrier with columnar structure of EB-PVD features. The process can manufacture EB-PVD like coatings that match in regards to their TGO-formation and columnar structure. Coatings with a columnar structure formed by individual columns of 1/4 of the diameter of a classical EB-PVD type TBC have been deposited. These coatings have the potential to prove a significant reduction in thermal conductivity and in erosion performance. (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  19. High speed PVD thermal barrier coatings

    International Nuclear Information System (INIS)

    Beele, W.; Eschendorff, G.

    2006-01-01

    The high speed PVD process (HS-PVD) combines gas phase coating synthesis with high deposition rates. The process has been demonstrated for high purity YSZ deposited as a chemically bonded top thermal barrier with columnar structure of EB-PVD features. The process can manufacture EB-PVD like coatings that match in regards to their TGO-formation and columnar structure. Coatings with a columnar structure formed by individual columns of 1/4 of the diameter of a classical EB-PVD type TBC have been deposited. These coatings have the potential to prove a significant reduction in thermal conductivity and in erosion performance. (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  20. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  1. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  2. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  3. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition.

    Science.gov (United States)

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Nanocomposite SiO x particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiO x matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH 4 promotes reduction in the oxygen content x of SiO x , and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x  = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD.

  4. PVD TBC experience on GE aircraft engines

    Science.gov (United States)

    Maricocchi, Antonio; Bartz, Andi; Wortman, David

    1995-01-01

    The higher performance levels of modern gas turbine engines present significant challenges in the reliability of materials in the turbine. The increased engine temperatures required to achieve the higher performance levels reduce the strength of the materials used in the turbine sections of the engine. Various forms of thermal barrier coatings (TBC's) have been used for many years to increase the reliability of gas turbine engine components. Recent experience with the physical vapor deposition (PVD) process using ceramic material has demonstrated success in extending the service life of turbine blades and nozzles. Engine test results of turbine components with a 125 micron (0.005 in) PVD TBC have demonstrated component operating temperatures of 56-83 C (100-150 F) lower than non-PVD TBC components. Engine testing has also revealed the TBC is susceptible to high angle particle impact damage. Sand particles and other engine debris impact the TBC surface at the leading edge of airfoils and fracture the PVD columns. As the impacting continues, the TBC erodes away in local areas. Analysis of the eroded areas has shown a slight increase in temperature over a fully coated area, however a significant temperature reduction was realized over an airfoil without TBC.

  5. Structure and corrosion properties of PVD Cr-N coatings

    International Nuclear Information System (INIS)

    Liu, C.; Bi, Q.; Ziegele, H.; Leyland, A.; Matthews, A.

    2002-01-01

    PVD Cr-N coatings produced by physical vapor deposition (PVD) are increasingly used for mechanical and tribological applications in various industrial sectors. These coatings are particularly attractive for their excellent corrosion resistance, which further enhances the lifetime and service quality of coated components. PVD Cr-N coated steels in an aqueous solution are usually corroded by galvanic attack via through-coating 'permeable' defects (e.g., pores). Therefore, the corrosion performance of Cr-N coated steel is determined by a number of variables of the coating properties and corrosive environment. These variables include: (i) surface continuity and uniformity; (ii) through-coating porosity; (iii) film density and chemical stability; (iv) growth stresses; (v) interfacial and intermediate layers; (vi) coating thickness; (vii) coating composition; and (viii) substrate properties. In this article, PVD Cr-N coatings were prepared, by electron-beam PVD and sputter deposition, with different compositions, thicknesses, and surface roughnesses, by changing the N 2 flow rate, applying multilayering techniques and changing the substrate finish prior to coating. The microstructure of such coatings is investigated by various analytical techniques such as glancing angle x-ray diffraction and scanning electron microscopy, which are also correlated with the corrosion performance of the coated steel. Both dc polarization and ac impedance spectroscopy were employed to investigate the corrosion resistance of Cr-N coated steel in a 0.5N NaCl solution. It has been found that the N 2 flow rate during reactive deposition strongly determines the microstructure of Cr-N coatings (due to the changing nitrogen content in the film) and can thus affect the corrosion resistance of coated systems. The surface finish of the steel substrate also affects the uniformity and coverage of PVD coatings; grooves and inclusions on the original substrate can raise the susceptibility of coated

  6. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  7. Tribological and Wear Performance of Nanocomposite PVD Hard Coatings Deposited on Aluminum Die Casting Tool

    Directory of Open Access Journals (Sweden)

    Jose Mario Paiva

    2018-02-01

    Full Text Available In the aluminum die casting process, erosion, corrosion, soldering, and die sticking have a significant influence on tool life and product quality. A number of coatings such as TiN, CrN, and (Cr,AlN deposited by physical vapor deposition (PVD have been employed to act as protective coatings due to their high hardness and chemical stability. In this study, the wear performance of two nanocomposite AlTiN and AlCrN coatings with different structures were evaluated. These coatings were deposited on aluminum die casting mold tool substrates (AISI H13 hot work steel by PVD using pulsed cathodic arc evaporation, equipped with three lateral arc-rotating cathodes (LARC and one central rotating cathode (CERC. The research was performed in two stages: in the first stage, the outlined coatings were characterized regarding their chemical composition, morphology, and structure using glow discharge optical emission spectroscopy (GDOES, scanning electron microscopy (SEM, and X-ray diffraction (XRD, respectively. Surface morphology and mechanical properties were evaluated by atomic force microscopy (AFM and nanoindentation. The coating adhesion was studied using Mersedes test and scratch testing. During the second stage, industrial tests were carried out for coated die casting molds. In parallel, tribological tests were also performed in order to determine if a correlation between laboratory and industrial tests can be drawn. All of the results were compared with a benchmark monolayer AlCrN coating. The data obtained show that the best performance was achieved for the AlCrN/Si3N4 nanocomposite coating that displays an optimum combination of hardness, adhesion, soldering behavior, oxidation resistance, and stress state. These characteristics are essential for improving the die mold service life. Therefore, this coating emerges as a novelty to be used to protect aluminum die casting molds.

  8. Tribological and Wear Performance of Nanocomposite PVD Hard Coatings Deposited on Aluminum Die Casting Tool.

    Science.gov (United States)

    Paiva, Jose Mario; Fox-Rabinovich, German; Locks Junior, Edinei; Stolf, Pietro; Seid Ahmed, Yassmin; Matos Martins, Marcelo; Bork, Carlos; Veldhuis, Stephen

    2018-02-28

    In the aluminum die casting process, erosion, corrosion, soldering, and die sticking have a significant influence on tool life and product quality. A number of coatings such as TiN, CrN, and (Cr,Al)N deposited by physical vapor deposition (PVD) have been employed to act as protective coatings due to their high hardness and chemical stability. In this study, the wear performance of two nanocomposite AlTiN and AlCrN coatings with different structures were evaluated. These coatings were deposited on aluminum die casting mold tool substrates (AISI H13 hot work steel) by PVD using pulsed cathodic arc evaporation, equipped with three lateral arc-rotating cathodes (LARC) and one central rotating cathode (CERC). The research was performed in two stages: in the first stage, the outlined coatings were characterized regarding their chemical composition, morphology, and structure using glow discharge optical emission spectroscopy (GDOES), scanning electron microscopy (SEM), and X-ray diffraction (XRD), respectively. Surface morphology and mechanical properties were evaluated by atomic force microscopy (AFM) and nanoindentation. The coating adhesion was studied using Mersedes test and scratch testing. During the second stage, industrial tests were carried out for coated die casting molds. In parallel, tribological tests were also performed in order to determine if a correlation between laboratory and industrial tests can be drawn. All of the results were compared with a benchmark monolayer AlCrN coating. The data obtained show that the best performance was achieved for the AlCrN/Si₃N₄ nanocomposite coating that displays an optimum combination of hardness, adhesion, soldering behavior, oxidation resistance, and stress state. These characteristics are essential for improving the die mold service life. Therefore, this coating emerges as a novelty to be used to protect aluminum die casting molds.

  9. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  10. Sintering and microstructure evolution of columnar nickel-based superalloy sheets prepared by EB-PVD

    International Nuclear Information System (INIS)

    Chen, S.; Qu, S.J.; Liang, J.; Han, J.C.

    2010-01-01

    Research highlights: → EB-PVD technology is commonly used to deposit thermal barrier coatings (TBCs) and columnar structure is commonly seen in EB-PVD condensates. The unique columnar structure can provide outstanding resistance against thermal shock and mechanical strains for TBCs. However, a number of researchers have found that the columnar structure can affect the mechanical properties of EB-PVD alloy thin sheet significantly. As yet, works on how to reduce this kind of effects are seldom done. In the present article, we tried to reveal the sintering effects on microstructure evolution and mechanical properties of columnar Ni-based superalloy sheet. The results suggests that after sintering, the columnar structure degrades. Degradation depends on sintering temperature and time. Both the ultimate tensile strength and the elongation percentage are effectively improved after sintering. - Abstract: A ∼0.15 mm-thick columnar nickel-based superalloy sheet was obtained by electron beam physical vapor deposition (EB-PVD). The as-deposited alloy sheet was sintered at different conditions. The microstructure of the specimens before and after sintering was characterized by using scanning electron microscopy. An X'Pert texture facility was used to determine the crystallographic orientation of the as-deposited alloy sheet. The phase transformation was investigated by X-ray diffraction. Tensile tests were conducted at room temperature on as-deposited and sintered specimens. The results show that the as-deposited sheet is composed of typical columnar structures. After sintering, however, the columnar structure degrades. The degradation depends on sintering temperature and time. Both the ultimate tensile strength and the elongation percentage are effectively improved after sintering.

  11. Rapid growth of zinc oxide nanobars in presence of electric field by physical vapor deposition

    Science.gov (United States)

    Jouya, Mehraban; Taromian, Fahime; Siami, Simin

    2017-12-01

    In this contribution, electric field has some effects to increase growth for specific time duration on zinc oxide (ZnO) nanobars. First, the zinc (Zn) thin film has been prepared by 235,000 V/m electric field assisted physical vapor deposition (PVD) at vacuum of 1.33 × 10-5 mbar. Second, strong electric field of 134,000 V/m has been used in ambient for growing ZnO nanobars in term of the time include 2.5 and 10 h. The performances of the ZnO nanostructure in absence and presence of electric field have been determined by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The results of XRD analysis showed that ZnO has a hexagonal bars structure and a strongly preferred (101) orientation which is strongest than without applying electric field. SEM analysis revealed that physical vapored ZnO thin film in presence of electric field are densely packed with uniform morphological, thinner and denser in distribution. Electric field effect for ZnO growth in 2.5 h is better than it in the 2.5 h without electric field but by passing the time the media influence has good power almost as same as electric field. Through this electric field in PVD, the compact and uniform Zn film has been achieved which is less diameter than ordinary PVD method. Finally, we carry out a series of experiments to grow different-orientation ZnO nanobars with less than 100 nm in diameter, which are the time saving process in base of PVD ever reported. Therefore, the significant conclusion in usage electric field is reducing time of growth.

  12. The Role of SiO2 Gas in the Operation of Anti-Corrosion Coating Produced by PVD

    Directory of Open Access Journals (Sweden)

    Meysam Zarchi

    2015-09-01

    Full Text Available This study examined theSiO2 gas present in the coatings used in corrosion industry.These layers have been created by physical vapor deposition (PVD, with an appropriate performance. Sublimation of SiO2is used to protect PVD aluminum flakes from water corrosionand to generate highly porous SiO2 flakes with holes in the nanometer range. SiOx/Al/SiOx sandwiches were made as well as Ag loaded porous SiO2 as antimicrobial filler.

  13. Low-Temperature Cu-Cu Bonding Using Silver Nanoparticles Fabricated by Physical Vapor Deposition

    Science.gov (United States)

    Wu, Zijian; Cai, Jian; Wang, Junqiang; Geng, Zhiting; Wang, Qian

    2018-02-01

    Silver nanoparticles (Ag NPs) fabricated by physical vapor deposition (PVD) were introduced in Cu-Cu bonding as surface modification layer. The bonding structure consisted of a Ti adhesive/barrier layer and a Cu substrate layer was fabricated on the silicon wafer. Ag NPs were deposited on the Cu surface by magnetron sputtering in a high-pressure environment and a loose structure with NPs was obtained. Shear tests were performed after bonding, and the influences of PVD pressure, bonding pressure, bonding temperature and annealing time on shear strength were assessed. Cu-Cu bonding with Ag NPs was accomplished at 200°C for 3 min under the pressure of 30 MPa without a post-annealing process, and the average bonding strength of 13.99 MPa was reached. According to cross-sectional observations, a void-free bonding interface with an Ag film thickness of around 20 nm was achieved. These results demonstrated that a reliable low-temperature short-time Cu-Cu bonding was realized by the sintering process of Ag NPs between the bonding pairs, which indicated that this bonding method could be a potential candidate for future ultra-fine pitch 3D integration.

  14. Raman microscopic studies of PVD deposited hard ceramic coatings

    International Nuclear Information System (INIS)

    Constable, C.P.

    2000-01-01

    PVD hard ceramic coatings grown via the combined cathodic arc/unbalance magnetron deposition process were studied using Raman microscopy. Characteristic spectra from binary, multicomponent, multilayered and superlattice coatings were acquired to gain knowledge of the solid-state physics associated with Raman scattering from polycrystalline PVD coatings and to compile a comprehensive spectral database. Defect-induced first order scattering mechanisms were observed which gave rise to two pronounced groups of bands related to the acoustical (150- 300cm -1 ) and optical (400-7 50cm -1 ) parts of the phonon spectrum. Evidence was gathered to support the theory that the optic modes were mainly due to the vibrations of the lighter elements and the acoustic modes due to the vibrations of the heavier elements within the lattice. A study into the deformation and disordering on the Raman spectral bands of PVD coatings was performed. TiAIN and TiZrN coatings were intentionally damaged via scratching methods. These scratches were then analysed by Raman mapping, both across and along, and a detailed spectral interpretation performed. Band broadening occurred which was related to 'phonon relaxation mechanisms' as a direct result of the breaking up of coating grains resulting in a larger proportion of grain boundaries per-unit-volume. A direct correlation of the amount of damage with band width was observed. Band shifts were also found to occur which were due to the stresses caused by the scratching process. These shifts were found to be the largest at the edges of scratches. The Raman mapping of 'droplets', a defect inherent to PVD deposition processes, found that higher compressive stresses and large amounts of disorder occurred for coating growth onto droplets. Strategies designed to evaluate the ability of Raman microscopy to monitor the extent of real wear on cutting tools were evaluated. The removal of a coating layer and subsequent detection of a base layer proved

  15. Crack resistance of pvd coatings : Influence of surface treatment prior to deposition

    NARCIS (Netherlands)

    Zoestbergen, E; de Hosson, J.T.M.

    The crack resistance of three different PVD coatings, TiN, Ti(C,N), and a multilayer system of alternating TiN and TiAlN, have been investigated. The three coating systems were deposited onto substrates with a different surface roughness to study the influence of this pretreatment on the crack

  16. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  17. PVD Silicon Carbide as a Thin Film Packaging Technology for Antennas on LCP Substrates for Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Stanton, John W.; Ponchak, George E.; Jordan, Jennifer L.; Zorman, Christian A.

    2010-01-01

    This paper describes an effort to develop a thin film packaging technology for microfabricated planar antennas on polymeric substrates based on silicon carbide (SiC) films deposited by physical vapor deposition (PVD). The antennas are coplanar waveguide fed dual frequency folded slot antennas fabricated on liquid crystal polymer (LCP) substrates. The PVD SiC thin films were deposited directly onto the antennas by RF sputtering at room temperature at a chamber pressure of 30 mTorr and a power level of 300 W. The SiC film thickness is 450 nm. The return loss and radiation patterns were measured before and after the SiC-coated antennas were submerged into perchloric acid for 1 hour. No degradation in RF performance or physical integrity of the antenna was observed.

  18. Thermal Conductivity of EB-PVD Thermal Barrier Coatings Evaluated by a Steady-State Laser Heat Flux Technique

    Science.gov (United States)

    Zhu, Dongming; Miller, Robert A.; Nagaraj, Ben A.; Bruce, Robert W.

    2000-01-01

    The thermal conductivity of electron beam-physical vapor deposited (EB-PVD) Zr02-8wt%Y2O3 thermal barrier coatings was determined by a steady-state heat flux laser technique. Thermal conductivity change kinetics of the EB-PVD ceramic coatings were also obtained in real time, at high temperatures, under the laser high heat flux, long term test conditions. The thermal conductivity increase due to micro-pore sintering and the decrease due to coating micro-delaminations in the EB-PVD coatings were evaluated for grooved and non-grooved EB-PVD coating systems under isothermal and thermal cycling conditions. The coating failure modes under the high heat flux test conditions were also investigated. The test technique provides a viable means for obtaining coating thermal conductivity data for use in design, development, and life prediction for engine applications.

  19. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  20. High throughput production of nanocomposite SiO x powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries

    Directory of Open Access Journals (Sweden)

    Keiichiro Homma

    2014-04-01

    Full Text Available Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor deposition (PS-PVD at a material throughput of 480 g h−1. The powders are fundamentally an aggregate of primary ~20 nm particles, which are composed of a crystalline Si core and SiO x shell structure. This is made possible by complete evaporation of raw SiO powders and subsequent rapid condensation of high temperature SiO x vapors, followed by disproportionation reaction of nucleated SiO x nanoparticles. When CH4 was additionally introduced to the PS-PVD, the volume of the core Si increases while reducing potentially the SiO x shell thickness as a result of the enhanced SiO reduction, although an unfavorable SiC phase emerges when the C/Si molar ratio is greater than 1. As a result of the increased amount of Si active material and reduced source for irreversible capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have exhibited improved initial efficiency and maintenance of capacity as high as 1000 mAh g−1 after 100 cycles at the same time.

  1. High throughput production of nanocomposite SiO x powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries.

    Science.gov (United States)

    Homma, Keiichiro; Kambara, Makoto; Yoshida, Toyonobu

    2014-04-01

    Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor deposition (PS-PVD) at a material throughput of 480 g h -1 . The powders are fundamentally an aggregate of primary ∼20 nm particles, which are composed of a crystalline Si core and SiO x shell structure. This is made possible by complete evaporation of raw SiO powders and subsequent rapid condensation of high temperature SiO x vapors, followed by disproportionation reaction of nucleated SiO x nanoparticles. When CH 4 was additionally introduced to the PS-PVD, the volume of the core Si increases while reducing potentially the SiO x shell thickness as a result of the enhanced SiO reduction, although an unfavorable SiC phase emerges when the C/Si molar ratio is greater than 1. As a result of the increased amount of Si active material and reduced source for irreversible capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have exhibited improved initial efficiency and maintenance of capacity as high as 1000 mAh g -1 after 100 cycles at the same time.

  2. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  3. Protection of yttria-stabilized zirconia for dental applications by oxidic PVD coating.

    Science.gov (United States)

    Hübsch, C; Dellinger, P; Maier, H J; Stemme, F; Bruns, M; Stiesch, M; Borchers, L

    2015-01-01

    In this study, the application of transparent physical vapor deposition (PVD) coatings on zirconia ceramics was examined as an approach to retard the low-temperature degradation of zirconia for dental applications. Transparent monolayers of titanium oxide (TixOy) and multilayers consisting of titanium oxide-alumina-titanium oxide (TixOy-AlxOy-TixOy) were deposited onto standardized discs of 3Y-TZP using magnetron sputtering. Using X-ray photospectroscopy and time-of-flight secondary-ion mass spectrometry, the compositions of the coatings were verified, and an approximate thickness of 50 nm for each type of coating was ascertained. After aging the coated and uncoated samples in water vapor at 134°C and 3 bar for 4, 8, 16, 32, 64 and 128 h, the monoclinic phase content was determined using X-ray diffraction, and its impact on mechanical properties was assessed in biaxial flexural strength tests. In addition, the depth of the transformation zone was measured from scanning electron microscopy images of the fracture surfaces of hydrothermally aged samples. The results revealed that the tetragonal-to-monoclinic phase transformation of the zirconia ceramic was retarded by the application of PVD coatings. During the first stages of aging, the coated samples exhibited a significantly lower monoclinic phase content than the uncoated samples and, after 128 h of aging, showed a transformation zone which was only ∼12-15 μm thick compared to ∼30 μm in the control group. Biaxial flexural strength decreased by ∼10% during aging and was not influenced by the application of a PVD coating. Copyright © 2014 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  4. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  5. Recubrimientos por PVD decorativos sobre cerámicas

    Directory of Open Access Journals (Sweden)

    García, J. A.

    2006-08-01

    Full Text Available During the last years PVD coatings has been used for decorative purposes on ceramics and other substrates. Standards layers like TiN, CrN, TiCN, etc, give us the possibly of obtaining a wide range of colours, with a good mechanical, chemical properties. This paper gathers the works carried out in the Center of Advance Surface engineering of AIN during the three last years in the field of the decorative coatings. Different layer have been deposited by means of a METAPLAS 232 PVD equipment. A complete chemical and mechanical characterisation have been carried out on the different deposited layers like ultramicroindentation, scratch tests, wear resistance and GDOES.

    En los últimos años se ha venido estudiado la aplicabilidad de las técnicas de depósitos mediante métodos físicos en fase vapor (PVD, para la realización de recubrimientos sobre cerámicas y otros substratos con fines decorativos. Los recubrimientos estándar por PVD como el TiN, CrN, TiCN, etc, ofrecen la posibilidad de conseguir acabados de apariencia metálica, de una amplia gama de colores, y con buenas propiedades mecánicas y químicas, como resistencia a la abrasión, estabilidad térmica, o resistencia a la corrosión. En este trabajo se recogen las experiencias realizadas en el recubrimiento y caracterización de cerámicas decorativas, durante los tres últimos años, en el Centro de Ingeniería de Superficies de la Asociación de la Industria Navarra. Los distintos tipos de recubrimientos se han realizado mediante un equipo de PVD modelo METAPLAS 232, con seis evaporadores de arco eléctrico y sistema de limpieza iónica patentado AEGD. Los recubrimientos han sido caracterizados, tanto química como mecánicamente, empleando las más modernas técnicas tribológicas (ultramicrodureza, scrascth tests, resistencia al desgaste... y espectroscópicas óptica por descarga luminiscente (GDOES. Los resultados obtenidos muestran que las técnicas de PVD son una

  6. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  7. Performance of a dual-process PVD/PS tungsten coating structure under deuterium ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan [Department of Nuclear and Quantum Engineering, KAIST, Daejeon (Korea, Republic of); Song, Jae-Min [Department of Nuclear Engineering, Seoul National University, Seoul (Korea, Republic of); Jang, Changheui, E-mail: chjang@kaist.ac.kr [Department of Nuclear and Quantum Engineering, KAIST, Daejeon (Korea, Republic of)

    2016-11-01

    Highlights: • D{sup +} irradiation performance of a dual-process PVD/PS W coating was evaluated. • Low-energy plasmas exposure of 100 eV D{sup +} with 1.17 × 10{sup 21} D/s{sup −1} m{sup 2} flux was applied. • After D ion irradiation, flakes were observed on the surface of the simple PS coating. • While, sub-μm size protrusions were observed for dual-process PVD/PS W coating. • Height of D spike in depth profile was lower for dual-process PVD/PS W coating. - Abstract: A dual-process coating structure was developed on a graphite substrate to improve the performance of the coating structure under anticipated operating condition of fusion devices. A thin multilayer W/Mo coating (6 μm) was deposited by physical vapor deposition (PVD) method with a variation of Mo interlayer thickness on plasma spray (PS) W coating (160 μm) of a graphite substrate panel. The dual-process PVD/PS W coatings then were exposed to 3.08 × 10{sup 24} D m{sup −2} of 100 eV D ions with a flux of 1.71 × 10{sup 21} D m{sup −2} s{sup −1} in an electron cyclotron resonance (ECR) chamber. After irradiation, surface morphology and D depth profiles of the dual-process coating were analyzed and compared to those of the simple PS W coating. Both changes in surface morphology and D retention were strongly dependent on the microstructure of surface coating. Meanwhile, the existence of Mo interlayer seemed to have no significant effect on the retention of deuterium.

  8. Thermal conductivity issues of EB-PVD thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Schulz, U.; Raetzer-Scheibe, H.J.; Saruhan, B. [DLR - German Aerospace Center, Institute of Materials Research, 51170 Cologne (Germany); Renteria, A.F. [BTU, Physical Metallurgy and Materials Technology, Cottbus (Germany)

    2007-09-15

    The thermal conductivity of electron-beam physical vapor deposited (EB-PVD) thermal barrier coatings (TBCs) was investigated by the Laser Flash technique. Sample type and methodology of data analyses as well as atmosphere during the measurement have some influence on the data. A large variation of the thermal conductivity was found by changes in TBC microstructure. Exposure at high temperature caused sintering of the porous microstructure that finally increased thermal conductivity up to 30 %. EB-PVD TBCs show a distinct thickness dependence of the thermal conductivity due to the anisotropic microstructure in thickness direction. Thin TBCs had a 20 % lower thermal conductivity than thick coatings. New compositions of the ceramic top layer offer the largest potential to lower thermal conductivity. Values down to 0.8W/(mK) have been already demonstrated with virgin coatings of pyrochlore compositions. (Abstract Copyright [2007], Wiley Periodicals, Inc.) [German] Die Waermeleitfaehigkeit von elektronenstrahl-aufgedampften (EB-PVD) Waermedaemmschichten (TBCs) wurde mittels Laser-Flash untersucht. Probentyp, Messmethodik und die Atmosphaere waehrend der Messung haben einen Einfluss auf die Ergebnisse. Aenderungen in der Mikrostruktur der TBC fuehrten zu grossen Unterschieden der Waermeleitfaehigkeit. Eine Hochtemperaturbelastung verursachte Sintervorgaenge in der poroesen Mikrostruktur, was die Waermeleitfaehigkeit um bis zu 30 % ansteigen liess. EB-PVD TBCs zeigen eine deutliche Dickenabhaengigkeit der Waermeleitfaehigkeit durch die Anisotropie der Mikrostruktur in dieser Richtung. Duenne TBCs haben eine um 20 % geringere Waermeleitfaehigkeit als dicke Schichten. Neue Zusammensetzungen der keramischen Deckschicht bieten die groessten Moeglichkeiten fuer eine Reduktion der Waermeleitfaehigkeit. Werte bis zu 0,8 W/(mK) wurden damit bereits erreicht. (Abstract Copyright [2007], Wiley Periodicals, Inc.)

  9. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  10. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  11. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  12. Improved cyclic oxidation resistance of electron beam physical vapor deposited nano-oxide dispersed {beta}-NiAl coatings for Hf-containing superalloy

    Energy Technology Data Exchange (ETDEWEB)

    Guo Hongbo [School of Materials Science and Engineering, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China)], E-mail: Guo.hongbo@buaa.edu.cn; Cui Yongjing; Peng Hui; Gong Shengkai [School of Materials Science and Engineering, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, No. 37, Xueyuan Road, Beijing 100191 (China)

    2010-04-15

    Oxide dispersed (OD) {beta}-NiAl coatings and OD-free {beta}-NiAl coatings were deposited onto a Hf-containing Ni-based superalloy by electron beam physical vapor deposition (EB-PVD). Excessive enrichment of Hf was found in the TGO on the OD-free coating due to outward diffusion of Hf from the superalloy, causing accelerated TGO thickening and spalling. The OD-coating effectively prevented Hf from outward diffusion. Only small amount of Hf diffused to the coating surface and improved the TGO adherence by virtue of the reactive element effect. The OD-coating exhibited an improved oxidation resistance as compared to the OD-free coating.

  13. A chemically stable PVD multilayer encapsulation for lithium microbatteries

    International Nuclear Information System (INIS)

    Ribeiro, J F; Sousa, R; Cunha, D J; Vieira, E M F; Goncalves, L M; Silva, M M; Dupont, L

    2015-01-01

    A multilayer physical vapour deposition (PVD) thin-film encapsulation method for lithium microbatteries is presented. Lithium microbatteries with a lithium cobalt oxide (LiCoO 2 ) cathode, a lithium phosphorous oxynitride (LiPON) electrolyte and a metallic lithium anode are under development, using PVD deposition techniques. Metallic lithium film is still the most common anode on this battery technology; however, it presents a huge challenge in terms of material encapsulation (lithium reacts with almost any materials deposited on top and almost instantly begins oxidizing in contact with atmosphere). To prove the encapsulation concept and perform all the experiments, lithium films were deposited by thermal evaporation technique on top of a glass substrate, with previously patterned Al/Ti contacts. Three distinct materials, in a multilayer combination, were tested to prevent lithium from reacting with protection materials and atmosphere. These multilayer films were deposited by RF sputtering and were composed of lithium phosphorous oxide (LiPO), LiPON and silicon nitride (Si 3 N 4 ). To complete the long-term encapsulation after breaking the vacuum, an epoxy was applied on top of the PVD multilayer. In order to evaluate oxidation state of lithium films, the lithium resistance was measured in a four probe setup (cancelling wires/contact resistances) and resistivity calculated, considering physical dimensions. A lithium resistivity of 0.16 Ω μm was maintained for more than a week. This PVD multilayer exonerates the use of chemical vapour deposition (CVD), glove-box chambers and sample manipulation between them, significantly reducing the fabrication cost, since battery and its encapsulation are fabricated in the same PVD chamber. (paper)

  14. A chemically stable PVD multilayer encapsulation for lithium microbatteries

    Science.gov (United States)

    Ribeiro, J. F.; Sousa, R.; Cunha, D. J.; Vieira, E. M. F.; Silva, M. M.; Dupont, L.; Goncalves, L. M.

    2015-10-01

    A multilayer physical vapour deposition (PVD) thin-film encapsulation method for lithium microbatteries is presented. Lithium microbatteries with a lithium cobalt oxide (LiCoO2) cathode, a lithium phosphorous oxynitride (LiPON) electrolyte and a metallic lithium anode are under development, using PVD deposition techniques. Metallic lithium film is still the most common anode on this battery technology; however, it presents a huge challenge in terms of material encapsulation (lithium reacts with almost any materials deposited on top and almost instantly begins oxidizing in contact with atmosphere). To prove the encapsulation concept and perform all the experiments, lithium films were deposited by thermal evaporation technique on top of a glass substrate, with previously patterned Al/Ti contacts. Three distinct materials, in a multilayer combination, were tested to prevent lithium from reacting with protection materials and atmosphere. These multilayer films were deposited by RF sputtering and were composed of lithium phosphorous oxide (LiPO), LiPON and silicon nitride (Si3N4). To complete the long-term encapsulation after breaking the vacuum, an epoxy was applied on top of the PVD multilayer. In order to evaluate oxidation state of lithium films, the lithium resistance was measured in a four probe setup (cancelling wires/contact resistances) and resistivity calculated, considering physical dimensions. A lithium resistivity of 0.16 Ω μm was maintained for more than a week. This PVD multilayer exonerates the use of chemical vapour deposition (CVD), glove-box chambers and sample manipulation between them, significantly reducing the fabrication cost, since battery and its encapsulation are fabricated in the same PVD chamber.

  15. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    International Nuclear Information System (INIS)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui

    2016-01-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m 2 were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m 2 for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  16. Plasma thermal performance of a dual-process PVD/PS tungsten coating on carbon-based panels for nuclear fusion application

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunmyung; Lee, Ho Jung; Kim, Sung Hwan; Jang, Changheui, E-mail: chjang@kaist.ac.kr

    2016-11-01

    Highlights: • Plasma thermal performance of a dual-process PVD/PS W coating was evaluated. • Steady-state heat fluxes of 1–3 MW/m{sup 2} were applied to the W coated specimens. • Less micro-pores and grain growth were observed for the dual-process coating. • Loss of coating thickness was observed for the simple PS W coating. • Dual-process PVD/PS W coating was resistant to erosion due to the surface PVD layer. - Abstract: Various tungsten (W) coating techniques have been used for the application of plasma facing material in nuclear fusion devices, which resulted in limited success. In this study, a dual-process W coating structure was developed on a graphite substrate to improve the thermal performance of the coating structure. The dual-process coating structure consisted of a thin (∼7 μm) multilayer W/Mo physical vapor deposition (PVD) coating layer deposited on top of the relatively thick (∼160 μm) plasma spray (PS) W coating on a graphite substrate panel. Then the coated sample was exposed to plasma heat flux of 1–3 MW/m{sup 2} for 300 s. With addition of a thin surface PVD coating layer, the microstructure change in underlying PS W coating was substantially reduced compared to the simple PS W coating structure. The thickness of overall coating structure was maintained for the dual-process PVD/PS coated samples after the thermal loading tests, while a significant reduction in thickness due to surface erosion was observed for the simple PS W coated samples. The improvement in surface erosion resistance in the dual-process coating structure was discussed in view of the characteristics of PVD and PS coating layers.

  17. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  18. Dependence of surface-enhanced infrared absorption (SEIRA) enhancement and spectral quality on the choice of underlying substrate: a closer look at silver (Ag) films prepared by physical vapor deposition (PVD).

    Science.gov (United States)

    Killian, Michelle M; Villa-Aleman, Eliel; Sun, Zhelin; Crittenden, Scott; Leverette, Chad L

    2011-03-01

    Silver (Ag) films of varying thickness were simultaneously deposited using physical vapor deposition (PVD) onto six infrared (IR) substrates (BaF(2), CaF(2), Ge, AMTIR, KRS-5, and ZnSe) in order to correlate the morphology of the deposited film with optimal SEIRA response and spectral band symmetry and quality. Significant differences were observed in the surface morphology of the deposited silver films, the degree of enhancement provided, and the spectral appearance of para-nitrobenzoic acid (PNBA) cast films for each silver-coated substrate. These differences were attributed to each substrate's chemical properties, which dictate the morphology of the Ag film and ultimately determine the spectral appearance of the adsorbed analyte and the magnitude of SEIRA enhancement. Routine SEIRA enhancement factors (EFs) for all substrates were between 5 and 150. For single-step Ag depositions, the following ranking identifies the greatest SEIRA enhancement factor and the maximum absorption of the 1345 cm(-1) spectral marker of PNBA at the optimal silver thickness for each substrate: BaF(2) (EF = 85 ± 19, 0.059 A, 10 nm Ag) > CaF(2) (EF = 75 ± 30, 0.052 A, 10 nm Ag) > Ge (EF = 45 ± 8, 0.019 A, 5 nm Ag) > AMTIR (EF = 38 ± 8, 0.024 A, 15 nm Ag) > KRS-5 (EF = 24 ± 1, 0.015 A, 12 nm Ag) > ZnSe (EF = 9 ± 5, 0.008 A, 8 nm Ag). A two-step deposition provides 59% larger EFs than single-step depositions of Ag on CaF(2). A maximum EF of 147 was calculated for a cast film of PNBA (surface coverage = 341 ng/cm(2)) on a 10 nm two-step Ag film on CaF(2) (0.102 A, 1345 cm(-1) symmetric NO(2) stretching band). The morphology of the two-step Ag film has smaller particles and greater particle density than the single-step Ag film.

  19. Corrosion behaviour of Arc-PVD coatings and hybrid systems

    International Nuclear Information System (INIS)

    Reichel, K.

    1992-01-01

    To achieve a comprehensive protective effect against corrosion and wear stresses, coating systems are increasingly being developed, in which there is a separation of the tasks of the coating materials regarding the protective effect. On the one hand, pure PVD coating systems are used, on the other hand hybrid coatings are examined, where galvanic processes are combined with PVD technique. The results of experiments introduced in this article were determined on Arc-PVD coatings. By this process, titanium nitride and chromium nitride coatings are both deposited directly on the basic material and are also deposited as combination coatings of Ti/TiN and chemical nickel/TiN. (orig.) [de

  20. Advanced neutron and X-ray techniques for insights into the microstructure of EB-PVD thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Kulkarni, Anand [State University of New York, Stony Brook, NY 11794 (United States); Goland, Allen [State University of New York, Stony Brook, NY 11794 (United States); Herman, Herbert [State University of New York, Stony Brook, NY 11794 (United States)]. E-mail: hherman@ms.cc.sunysb.edu; Allen, Andrew J. [National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); Dobbins, Tabbetha [National Institute of Standards and Technology, Gaithersburg, MD 20899 (United States); DeCarlo, Francesco [Argonne National Laboratory, Argonne, IL 60439 (United States); Ilavsky, Jan [Argonne National Laboratory, Argonne, IL 60439 (United States); Long, Gabrielle G. [Argonne National Laboratory, Argonne, IL 60439 (United States); Fang, Stacy [Chromalloy Gas Turbine Corporation, Orangeburg, NY 10962 (United States); Lawton, Paul [Chromalloy Gas Turbine Corporation, Orangeburg, NY 10962 (United States)

    2006-06-25

    The ongoing quest to increase gas turbine efficiency and performance (increased thrust) provides a driving force for materials development. While improved engine design and usage of novel materials provide solutions for increased engine operating temperatures, and hence fuel efficiency, reliability issues remain. Thermal barrier coatings (TBCs), deposited onto turbine components using the electron-beam physical vapor deposition (EB-PVD) process, exhibit unique pore architectures capable of bridging the technological gap between insulation/life extension and prime reliance. This article explores the potential of advanced X-ray and neutron techniques for comprehension of an EB-PVD TBC coating microstructure. While conventional microscopy reveals a hierarchy of voids, complementary advanced techniques allow quantification of these voids in terms of component porosities, anisotropy, size and gradient through the coating thickness. In addition, the derived microstructural parameters obtained both further knowledge of the nature and architecture of the porosity, and help establish its influence on the resultant thermal and mechanical properties.

  1. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    Science.gov (United States)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  2. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  3. EB-PVD process management for highly productive zirconia thermal barrier coating of turbine blades

    International Nuclear Information System (INIS)

    Reinhold, E.; Botzler, P.; Deus, C.

    1999-01-01

    Zirconia thermal barrier coatings are well used in the turbine manufacturing industry because they ensure extended lifetimes of turbine blades. Compared with other techniques, EB-PVD processes are best suited for the deposition on turbine blades with regard to the layer properties. Therefore EB-PVD coaters for turbine blades are becoming increasingly interesting. The coating costs per component are mainly dependent on a highly productive solution for the deposition task. Thus the EB-PVD process management has to be optimized in order to meet the productivity requirements of the manufacturers. This includes the requirement of high deposition rates, large deposition areas, long time stable production cycles as well as a matched duration of preheating, deposition and cooling down per charge. Modern EB-PVD solutions to be introduced allow deposition rates on blades up to 7 μm/min. The consequences for the technological process management and plant design concerning long time stable coating cycles with high productivity will be discussed. (orig.)

  4. Effect of Hf Additions to Pt Aluminide Bond Coats on EB-PVD TBC Life

    Science.gov (United States)

    Nesbitt, James; Nagaraj, Ben; Williams, Jeffrey

    2000-01-01

    Small Hf additions were incorporated into a Pt aluminide coating during chemical vapor deposition (CVD) on single crystal RENE N5 substrates. Standard yttria-stabilized zirconia top coats were subsequently deposited onto the coated substrates by electron beam-physical vapor deposition (EB-PVD). The coated substrates underwent accelerated thermal cycle testing in a furnace at a temperature in excess of 1121 C (2050 F) (45 minute hot exposure, 15 minute cool to approximately 121 C (250 F)) until the thermal barrier coating (TBC) failed by spallation. Incorporating Hf in the bond coat increased the TBC life by slightly more than three times that of a baseline coating without added Hf. Scanning electron microscopy of the spalled surfaces indicated that the presence of the Hf increased the adherence of the thermally grown alumina to the Pt aluminide bond coat. The presence of oxide pegs growing into the coating from the thermally grown alumina may also partially account for the improved TBC life by creating a near-surface layer with a graded coefficient of thermal expansion.

  5. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  6. Industrial inline PVD metallization for silicon solar cells with laser fired contacts leading to 21.8% efficiency

    OpenAIRE

    Nekarda, J.; Reinwand, D.; Hartmann, P.; Preu, R.

    2010-01-01

    In this contribution we present the latest results of our experiments in regard to an industrially feasible inline physical vapor deposition (PVD) metallization method for the rear side of passivated solar cells. In an earlier publication, the quality of such processed layers and the feasibility of the tool was already shown and compared with a commonly used laboratory process based on electron beam evaporation. Since then a difference in the Voc potential in the range of ~ 4 mV between both ...

  7. Cutting Performance of Low Stress Thick TiAlN PVD Coatings during Machining of Compacted Graphite Cast Iron (CGI

    Directory of Open Access Journals (Sweden)

    Kenji Yamamoto

    2018-01-01

    Full Text Available A new family of physical vapor deposited (PVD coatings is presented in this paper. These coatings are deposited by a superfine cathode (SFC using the arc method. They combine a smooth surface, high hardness, and low residual stresses. This allows the production of PVD coatings as thick as 15 µm. In some applications, in particular for machining of such hard to cut material as compacted graphite iron (CGI, such coatings have shown better tool life compared to the conventional PVD coatings that have a lower thickness in the range of up to 5 μm. Finite element modeling of the temperature/stress profiles was done for the SFC coatings to present the temperature/stress profiles during cutting. Comprehensive characterization of the coatings was performed using XRD, TEM, SEM/EDS studies, nano-hardness, nano-impact measurements, and residual stress measurements. Application of the coating with this set of characteristics reduces the intensity of buildup edge formation during turning of CGI, leading to longer tool life. Optimization of the TiAlN-based coatings composition (Ti/Al ratio, architecture (mono vs. multilayer, and thickness were performed. Application of the optimized coating resulted in a 40–60% improvement in the cutting tool life under finishing turning of CGI.

  8. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  9. Improved mechanical properties of Ni-rich Ni3Al coatings produced by EB-PVD for repairing single crystal blades

    Institute of Scientific and Technical Information of China (English)

    Jing-Yong Sun; Yan-Ling Pei; Shu-Suo Li; Hu Zhang; Sheng-Kai Gong

    2017-01-01

    Active control of turbine blade tip clearance for aircraft engine continues to be a concern in engine operation,because turbine blades are subjected to wear and therefore cause an increasing tip clearance between the rotating blades and the shroud and also reduce the engine efficiency.In this work,a Ni-rich Ni3Al coating with γ'/γtwo-phase microstructure was deposited by electron beam physical vapor deposition (EB-PVD),which worked as repairing the worn blade tips of single crystal blades.Nb molten pool was used to increase the molten pool temperature and thus to enhance the deposition rate.The microstructures and mechanical properties can be modified by the deposition temperatures and the following heat treatments.All coatings consist of γ'and γ phases.At deposition temperature of 600 ℃,a dense microstructure can be achieved to produce a coating with grain size of ~ 1 μm and microhardness of ~HV 477.After being heated for 4 h at a temperature of 1,100 ℃,the coatings have a more uniform microstructure,and microhardness maintains at a high level of ~ HV 292.Effect of Hf and Zr on EB-PVD Ni3Al repair coating will be further investigated.

  10. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  11. Antibacterial Functionalization of PVD Coatings on Ceramics

    Directory of Open Access Journals (Sweden)

    Javier Osés

    2018-05-01

    Full Text Available The application of surface treatments that incorporate silver or copper as antibacterial elements has become a common practice for a wide variety of medical devices and materials because of their effective activity against nosocomial infections. Ceramic tiles are choice materials for cladding the floors and walls of operation rooms and other hospital spaces. This study is focused on the deposition of biocide physical vapor deposition (PVD coatings on glazed ceramic tiles. The objective was to provide antibacterial activity to the surfaces without worsening their mechanical properties. Silver and copper-doped chromium nitride (CrN and titanium nitride (TiN coatings were deposited on samples of tiles. A complete characterization was carried out in order to determine the composition and structure of the coatings, as well as their topographical and mechanical properties. The distribution of Ag and Cu within the coating was analyzed using glow discharge optical emission spectrometry (GD-OES and field emission scanning electron microscope (FE-SEM. Roughness, microhardness, and scratch resistance were measured for all of the combinations of coatings and dopants, as well as their wettability. Finally, tests of antibacterial efficacy against Staphylococcus aureus and Escherichia coli were carried out, showing that all of the doped coatings had pronounced biocide activity.

  12. Enhanced Corrosion Resistance of PVD-CrN Coatings by ALD Sealing Layers

    Science.gov (United States)

    Wan; Zhang, Teng Fei; Ding, Ji Cheng; Kim, Chang-Min; Park, So-Won; Yang, Yang; Kim, Kwang-Ho; Kwon, Se-Hun

    2017-04-01

    Multilayered hard coatings with a CrN matrix and an Al2O3, TiO2, or nanolaminate-Al2O3/TiO2 sealing layer were designed by a hybrid deposition process combined with physical vapor deposition (PVD) and atomic layer deposition (ALD). The strategy was to utilize ALD thin films as pinhole-free barriers to seal the intrinsic defects to protect the CrN matrix. The influences of the different sealing layers added in the coatings on the microstructure, surface roughness, and corrosion behaviors were investigated. The results indicated that the sealing layer added by ALD significantly decreased the average grain size and improved the corrosion resistance of the CrN coatings. The insertion of the nanolaminate-Al2O3/TiO2 sealing layers resulted in a further increase in corrosion resistance, which was attributed to the synergistic effect of Al2O3 and TiO2, both acting as excellent passivation barriers to the diffusion of corrosive substances.

  13. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  14. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  15. Development and evaluation of two PVD-coated β-titanium orthodontic archwires for fluoride-induced corrosion protection.

    Science.gov (United States)

    Krishnan, Vinod; Krishnan, Anand; Remya, R; Ravikumar, K K; Nair, S Asha; Shibli, S M A; Varma, H K; Sukumaran, K; Kumar, K Jyothindra

    2011-04-01

    The present research was aimed at developing surface coatings on β titanium orthodontic archwires capable of protection against fluoride-induced corrosion. Cathodic arc physical vapor deposition PVD (CA-PVD) and magnetron sputtering were utilized to deposit thin films of titanium aluminium nitride (TiAlN) and tungsten carbide/carbon (WC/C) coatings on β titanium orthodontic archwires. Uncoated and coated specimens were immersed in a high fluoride ion concentration mouth rinse, following a specially designed cycle simulating daily use. All specimens thus obtained were subjected to critical evaluation of parameters such as electrochemical corrosion behaviour, surface analysis, mechanical testing, microstructure, element release, and toxicology. The results confirm previous research that β titanium archwires undergo a degradation process when in contact with fluoride mouth rinses. The study confirmed the superior nature of the TiAlN coating, evident as many fewer changes in properties after fluoride treatment when compared with the WC/C coating. Thus, coating with TiAlN is recommended in order to reduce the corrosive effects of fluorides on β titanium orthodontic archwires. Copyright © 2010 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  16. An apparatus for sequential pulsed plasma beam treatment in combination with Arc PVD deposition

    International Nuclear Information System (INIS)

    Stanislawski, J.; Werner, Z.; Piekoszewski, J.; Richter, E.

    2002-01-01

    A hybrid type of apparatus is described which enables one to form a thin multi-layer film on the surface of any kind of solid substrate. In one process, the surface is treated with a high intensity pulse plasma beam which introduces the chosen kind of atoms into the near-surface layer of the substrate. In the second process, following the first without breaking the vacuum, the coating is formed by arc PVD (physics vapour deposition) process. Two examples of coatings formed on metallic and ceramic substrates are presented. (author)

  17. Evaluation of interfacial mechanical properties under shear loading in EB-PVD TBCs by the pushout method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sang-Seok [Research Center for Advanced Science and Technology, University of Tokyo, Tokyo 153-8904 (Japan); Liu Yufu [Research Center for Advanced Science and Technology, University of Tokyo, Tokyo 153-8904 (Japan); Kagawa, Yutaka [Research Center for Advanced Science and Technology, University of Tokyo, Tokyo 153-8904 (Japan)]. E-mail: kagawa@iis.u-tokyo.ac.jp

    2007-06-15

    A new simple pushout technique for evaluation of interfacial shear mechanical properties in thermal barrier coatings has been developed. The technique is similar to the pushout test of fiber-reinforced ceramics, except for the specimen shape and support method. The technique has been applied to evaluation of interfacial delamination toughness, {gamma} {sub i}, of the electron beam physical vapor deposition (EB-PVD) ZrO{sub 2} thermal barrier coating (TBC) system. The change of {gamma} {sub i} in the EB-PVD system with thermal exposure is measured and discussed in terms of microstructural change and delamination crack path. The measured delamination toughness varied from {gamma} {sub i} = 10 to 115 J/m{sup 2}. The delamination path and TGO growth were found to be closely related. The delamination toughness significantly decreases due to the formation and growth of a spinel phase in the TGO layer. The relation between delamination toughness and delamination behavior is discussed.

  18. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  19. Additional ion bombardment in PVD processes generated by a superimposed pulse bias voltage

    International Nuclear Information System (INIS)

    Olbrich, W.; Kampschulte, G.

    1993-01-01

    The superimposed pulse bias voltage is a tool to apply an additional ion bombardment during deposition in physical vapour deposition (PVD) processes. It is generated by the combination of a d.c. ground voltage and a higher d.c. pulse voltage. Using a superimposed pulse bias voltage in ion-assisted PVD processes effects an additional all-around ion bombardment on the surface with ions of higher energy. Both metal and reactive or inert-gas ions are accelerated to the surface. The basic principles and important characteristics of this newly developed process such as ion fluxes or deposition rates are shown. Because of pulsing the high voltage, the deposition temperature does not increase much. The adhesion, structure, morphology and internal stresses are influenced by these additional ion impacts. The columnar growth of the deposited films could be suppressed by using the superimposed pulse bias voltage without increasing the deposition temperature. Different metallizations (Cr and Cu) produced by arc and sputter ion plating are investigated. Carbon-fibre-reinforced epoxy are coated with PVD copper films for further treatment in electrochemical processes. (orig.)

  20. NiCoCrAl/YSZ laminate composites fabricated by EB-PVD

    International Nuclear Information System (INIS)

    Shi Guodong; Wang Zhi; Liang Jun; Wu Zhanjun

    2011-01-01

    Highlights: → The metal-ceramic laminate composites were fabricated by EB-PVD. → Both metal and ceramic layers consisted of straight columns with banded structures. → Columnar grain size was limited by the periodic layer interfaces in the laminates. → Effect of columns on fracture property was decreased by limiting layer thickness. → Laminates showed greater specific strength than monolithic metal foil. - Abstract: Two NiCoCrAl/YSZ laminate composites (A and B) with different metal-layer thickness (∼35 μm and 14 μm, respectively) were fabricated by electron beam physical vapor deposition (EB-PVD). Their microstructure was examined and their mechanical properties were compared with the 289 μm thick NiCoCrAl monolithic foil produced by EB-PVD. Both the YSZ and NiCoCrAl layers of the laminate composites had columnar grain structure. But the periodic layer interfaces limited the columnar grain size. Some pores between the columns were also observed. It was found that the strength of the laminate A was equal approximately to that of the NiCoCrAl monolithic foil, and that laminate B had the greater strength. Moreover, the density of the foils decreased with the increasing thickness ratio of YSZ/NiCoCrAl layers and the increasing the layer number. Thus, comparing with the NiCoCrAl monolithic foil, the NiCoCrAl/YSZ laminate composites not only had the equal or greater strength, but also had the much greater specific strength.

  1. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  2. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  3. PVD Ti coatings on Sm-Co magnets

    International Nuclear Information System (INIS)

    Bovda, O.M.; Bovda, V.O.; Garkusha, I.E.; Leonov, S.O.; Onishchenko, L.V.; Tereshin, V.I.; Totrika, O.S.; Chen, C.H.

    2008-01-01

    The combination of conventional ion-plasma deposition (PVD) and pulsed plasma technologies (PPT) has been applied for rare-earth Sm-Co based magnets, to provide them with enhanced corrosion resistance. The influence of pulsed plasma treatment on Sm-Co magnets with deposited titanium PVD coatings has been investigated. It was revealed that thickness of modified layer significantly depends on the thickness of initial titanium film and plasma treatment regimes. As a result of plasma treatment with energy density of 30 J/cm 2 and pulse duration of ∼ 5 μs fine-grained layer with the thickness of 70 microns has been formed on the Sm-Co magnet with pure titanium film of 50 micron. According to SEM analyses considerable diffusion of titanium to the bulk of the magnet, on the depth of 20 microns, took place. Such reaction enhances strong bonding between the coating and the magnet

  4. A study on the high velocity impact behavior of titanium alloy by PVD method

    International Nuclear Information System (INIS)

    Sohn, Se Won; Lee, Doo Sung; Hong, Sung Hee

    2001-01-01

    In order to investigate the fracture behaviors(penetration modes) and resistance to penetration during ballistic impact of titanium alloy laminates and nitrified titanium alloy laminates which were treated by PVD(Physical Vapor Deposition) method, ballistic tests were conducted. Evaporation, sputtering, and ion plating are three kinds of PVD method. In this research, ion plating was used to achieve higher surface hardness and surface hardness test were conducted using a micro Vicker's hardness tester. Resistance to penetration is determined by the protection ballistic limit(V 50 ), a statistical velocity with 50% probability for complete penetration. Fracture behaviors and ballistic tolerance, described by penetration modes, are respectfully observed at and above ballistic limit velocities, as a result of V 50 test and Projectile Through Plates(PTP) test methods. PTP tests were conducted with 0 .deg. obliquity at room temperature using 5.56mm ball projectile. V 50 tests with 0 .deg. obliquity at room temperature were conducted with projectiles that were able to achieve near or complete penetration during PTP tests. Surface hardness, resistance to penetration, and penetration modes of titanium alloy laminates are compared to those of nitrified titanium alloy laminates

  5. Morphology of PVD films

    International Nuclear Information System (INIS)

    Carr, M.J.; Grotzky, V.K.; Helms, C.J.; Johns, W.L.; Naimon, E.R.; Rafalski, A.L.; Smith, C.J.

    1982-01-01

    Experimental data show that the morphology of PVD chromium coatings is dependent on substrate temperature, deposition rate, and the oxygen content of the chromium source material. For chromium containing about 700-ppM oxygen, a variety of morphologies can form depending on substrate temperature and deposition rate. For chromium contaning 1000 to 2000 ppM of oxygen, porous coatings of the Type IV variety are produced over essentially the full range of temperatures and rates possible with current coating equipment. For chromium containing less than about 400 ppM of oxygen, dense coatings of the Type I variety are produced over the range of temperatures and rates investigated

  6. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  7. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  8. Morphology and inhibition performance of Ag thin film as antimicrobial coating deposited by RF-PVD on 316 L stainless steel

    Science.gov (United States)

    Purniawan, A.; Khrisna, Y. S. A.; Rasyida, A.; Atmono, T. M.

    2018-04-01

    Foreign body related infection (FBRIs) is caused by forming biofilm of bacterial colony of medical equipment surfaces. In many cases, the FBRIs is still happened on the surface after medical sterilization process has been performed. In order to avoid the case, surface modification by antimicrobial coating was used. In this work, we present silver (Ag) thin film on 316 L stainless steel substrate surface was deposited using Radio Frequency Sputtering PVD (RF-PVD). The morphology of Ag thin film were characterized using SEM-EDX. Surface roughness of the thin film was measured by AFM. In addition, Kirby Bauer Test in Escherichia coli (E. coli) was conducted in order to evaluate the inhibition performance of the Ag thin film antimicrobial coating. Based on SEM and AFM results show that the particle size is increased from 523 nm to 708 nm and surface roughness from 9 to 20 nm for deposition time 10 minutes to 20 minutes, respectively. In addition, the inhibition layer of the coating is about 29 mm.

  9. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  10. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  11. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  12. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  13. Ordered metal nanotube arrays fabricated by PVD.

    Science.gov (United States)

    Marquez, F; Morant, C; Campo, T; Sanz, J M; Elizalde, E

    2010-02-01

    In this work we report a simple method to fabricate ordered arrays of metal nanotubes. This method is based on the deposition of a metal by PVD onto an anodized aluminum oxide (AAO) template. The dimensions of the synthesized nanotubes depend both on the AAO template and on the deposited metal. In fact, it is observed that the aspect ratios of the nanotubes clearly depend significantly on the metal, ranging from 0.6 (Fe) to at least 3 (Zr).

  14. Investigation of the Effect of Residual Stress Gradient on the Wear Behavior of PVD Thin Films

    Science.gov (United States)

    Tlili, B.; Nouveau, C.; Guillemot, G.; Besnard, A.; Barkaoui, A.

    2018-02-01

    The control of residual stresses has been seldom investigated in multilayer coatings dedicated to improvement of wear behavior. Here, we report the preparation and characterization of superposed structures composed of Cr, CrN and CrAlN layers. Nano-multilayers CrN/CrAlN and Cr/CrN/CrAlN were deposited by Physical Vapor Deposition (PVD) onto Si (100) and AISI4140 steel substrates. The Cr, CrN and CrAlN monolayers were developed with an innovative approach in PVD coatings technologies corresponding to deposition with different residual stresses levels. Composition and wear tracks morphologies of the coatings were characterized by scanning electron microscopy, high-resolution transmission electron microscopy, atomic force microscopy, x-ray photoelectron spectroscopy, energy-dispersive x-ray spectroscopy, x-ray diffraction and 3D-surface analyzer. The mechanical properties (hardness, residual stresses and wear) were investigated by nanoindentation, interferometry and micro-tribometry (fretting-wear tests). Observations suggest that multilayer coatings are composed mostly of nanocrystalline. The residual stresses level in the films has practically affected all the physicochemical and mechanical properties as well as the wear behavior. Consequently, it is demonstrated that the coating containing moderate stresses has a better wear behavior compared to the coating developed with higher residual stresses. The friction contact between coated samples and alumina balls shows also a large variety of wear mechanisms. In particular, the abrasive wear of the coatings was a combination of plastic deformation, fine microcracking and microspallation. The application of these multilayers will be wood machining of green wood.

  15. Effect of a ductility layer on the tensile strength of TiAl-based multilayer composite sheets prepared by EB-PVD

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Rubing, E-mail: zrb86411680@126.com [Department of Mechanics, School of Civil Engineering, Beijing Jiaotong University, Beijing 100044 (China); Zhang, Yaoyao [Department of Mechanics, School of Civil Engineering, Beijing Jiaotong University, Beijing 100044 (China); Liu, Qiang [Beijing Institute of Astronautical Systems Engineering, Beijing 100076 (China); Chen, Guiqing [Center for Composite Materials, Harbin Institute of Technology, Harbin 150001 (China); Zhang, Deming [Beijing General Research Institute of Mining and Metallurgy, Beijing 100044 (China)

    2014-09-15

    TiAl/Nb and TiAl/NiCoCrAl laminate composite sheets with a thickness of 0.4–0.6 mm and dimensions of 150 mm × 100 mm were successfully fabricated by electron beam physical vapor deposition. The microstructures of the sheets were examined, and their mechanical properties were compared with those of TiAl monolithic sheet produced by electron beam physical vapor deposition. Tensile testing was performed at room temperature and 750 °C, and the fracture surfaces were examined by scanning electron microscopy. Among the three microlaminate sheets, the TiAl/NiCoCrAl micro-laminate sheet had the best comprehensive properties at room temperature, and the TiAl/Nb micro-laminate sheet showed the ideal high-temperature strength and plasticity at 750 °C. The result was discussed in terms of metal strengthening mechanism. - Highlights: • TiAl-based multilayer foils was fabricated successfully by using EB-PVD method; • The tensile properties and micro-fracture morphologies of the sheet were investigated; • The deformation behavior of the multilayer foils was discussed.

  16. Diffusion and adhesion properties of Cu films on polyimide substrates

    International Nuclear Information System (INIS)

    Liang, T.X.; Liu, Y.Q.; Fu, Z.Q.; Luo, T.Y.; Zhang, K.Y.

    2005-01-01

    Copper thin films were prepared on polyimide (PI) substrates by physical vapor deposition (PVD) and chemical vapor deposition (CVD). Titanium nitride (TiN) diffusion barrier layers were deposited between the copper films and the PI substrates by PVD. Auger electron spectroscopy compositional depth profile showed that TiN barrier layer was very effective in preventing copper diffusion into PI substrate even after the Cu/TiN/PI samples were annealed at 300 deg. C for 5 h. For the as-deposited CVD-Cu/PI, CVD-Cu/TiN/PI, and as-deposited PVD-Cu/PI samples, the residual stress in Cu films was very small. Relatively larger residual stress existed in Cu films for PVD-Cu/TiN/PI samples. For PVD-Cu/TiN/PI samples, annealing can increase the peeling strength to the level observed without a diffusion barrier. The adhesion improvement of Cu films by annealing treatment can be attributed to lowering of the residual tensile stress in Cu films

  17. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  18. Structure of MeCrAlY + AlSi coatings deposited by Arc-PVD method on CMSX4 single crystal alloy

    International Nuclear Information System (INIS)

    Swadzba, L.; Hetmanczyk, M.; Mendala, B.; Saunders, S.R.J.

    2002-01-01

    Investigations of depositing high temperature resistant coatings on the Ni base superalloys by Arc-PVD method using exothermic reaction processes between Ni and Al with NiAl intermetallic formation are presented in the article. By the diffusion heating at 1050 o C in vacuum, NiAl diffusion coating containing 21% at. Al and 50 μm thick were obtained. In the next stage coatings with more complex chemical composition - MeCrAlY were formed. The MeCrAlY coatings were made from two targets. Good correlation between the chemical composition of the targets and a uniform distribution of elements in the coatings was shown. Then the surface was also covered with aluminium by the Arc-PVD method . In the vacuum chamber of the equipment a synthesis reaction between NiCoCrAlY and Al with the formation of NiAl intermetallics of high Co, Cr, Y content was initiated. The final heat treatment of coatings was conducted in vacuum at 1323 K. Strong segregation of yttrium into the oxide scale in the specimens heated in the air was shown. It was possible to form NiAl and intermetallics phase coatings modified by Co, Cr and Y by the Arc-PVD method. The coatings were formed on a single crystal CMSX-4. The structure, morphology and phase composition of coatings was carried out. (author)

  19. Metal (Ag/Ti)-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics.

    Science.gov (United States)

    Constantinou, Marios; Nikolaou, Petros; Koutsokeras, Loukas; Avgeropoulos, Apostolos; Moschovas, Dimitrios; Varotsis, Constantinos; Patsalas, Panos; Kelires, Pantelis; Constantinides, Georgios

    2018-03-30

    This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a-C:H:Me) of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD) and Physical Vapor Deposition (PVD) technologies. The a-C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF) plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC) technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti). The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR), Raman spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a-C:H:Ag and a-C:H:Ti) exhibited enhanced nanoscratch resistance (up to +50%) and low values of friction coefficient (<0.05), properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  20. High-rate deposition of SI absorber layers by electron beam evaporation and first electron beam crystallization tests

    OpenAIRE

    Saager, Stefan; Ben Yaala, Marwa; Heinß, Jens-Peter; Temmler, Dietmar; Pfefferling, Bert; Metzner, Christoph

    2014-01-01

    In earlier electron beam physical vapor deposition tests (EB-PVD), using a conventional copper crucible (A), high Si deposition rates at relatively high EB power together with a contamination level of 1016 cm-3 are demonstrated. To improve the rate vs. EB power relation as well as the Si layer purity, two alternative high rate EBPVD methods are investigated and reported here - a contact-less crucible setup (B) and a crucible-free setup (C).In these experiments comparable deposition rates of ~...

  1. Characterization and evaluation of EB-PVD thermal barrier coatings by impedance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Chunxia; Liu Fushun; Gong Shengkai; Xu Huibin [School of Materials Science and Engineering, Beihang Univ., Beijing, BJ (China)

    2005-07-01

    Two layer thermal barrier coatings (TBCs) were prepared by EB-PVD (electron beam-physical vapor deposition) at different substrate temperatures in the range of 823 to 1123 K, and their microstructure was investigated with SEM and AC impedance as a function of substrate temperature and thermal cycling time. YSZ layer of all TBCs samples is in column structure, but the grain size and growth orientation are different with substrate. In this research, impedance spectra (IS) was measured as a function of thermal cycling between 1323 K and 298 K for these thermal barrier coatings. Grain boundary and bulk can be distinguished from analysis of AC impedance spectroa to provide information about the relation between microstructure and electric properties. The change in IS until failure was found to be related with the thickness, microcracks and macrocracks of TGO and the change in the interfacial of TGO/YSZ. (orig.)

  2. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  3. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  4. Microstructure evolution during annealing of TiAl/NiCoCrAl multilayer composite prepared by EB-PVD

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Rubing, E-mail: zrb86411680@126.com [Department of Mechanics, School of Civil Engineering, Beijing Jiaotong University, Beijing 100044 (China); Zhang, Deming [Beijing General Research Institute of Mining and Metallurgy, Beijing 100044 (China); Chen, Guiqing [Center for Composite Materials, Harbin Institute of Technology, Harbin 150001 (China); Wang, Yuesheng [Department of Mechanics, School of Civil Engineering, Beijing Jiaotong University, Beijing 100044 (China)

    2014-07-01

    TiAl/NiCoCrAl laminate composite sheet with a thickness of 0.4–0.6 mm as well as a dimension of 150 mm × 100 mm was fabricated successfully by using electron beam physical vapor deposition (EB-PVD) method. The annealing treatment was processed at 1123 and 1323 K for 3 h in a high vacuum atmosphere, respectively. The phase composition and microstructure of TiAl/NiCoCrAl microlaminated sheet have been analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Based on the sheet characterization and results of the microstructure evolution during annealing treatment process, the diffusion mechanism of interfacial reaction in TiAl/NiCoCrAl microlaminate was investigated and discussed.

  5. The effect of PVD coatings on the corrosion behaviour of AZ91 magnesium alloy

    International Nuclear Information System (INIS)

    Altun, Hikmet; Sen, Sadri

    2006-01-01

    In this study, multilayered AlN (AlN + AlN + AlN) and AlN + TiN were coated on AZ91 magnesium alloy using physical vapour deposition (PVD) technique of DC magnetron sputtering, and the influence of the coatings on the corrosion behaviour of the AZ91 alloy was examined. A PVD system for coating processes, a potentiostat for electrochemical corrosion tests, X-ray difractometer for compositional analysis of the coatings, and scanning electron microscopy for surface examinations were used. It was determined that PVD coatings deposited on AZ91 magnesium alloy increased the corrosion resistance of the alloy, and AlN + AlN + AlN coating increased the corrosion resistance much more than AlN + TiN coating. However, it was observed that, in the coating layers, small structural defects e.g., pores, pinholes, cracks that could arise from the coating process or substrate and get the ability of protection from corrosion worsened were present

  6. The large-area CdTe thin film for CdS/CdTe solar cell prepared by physical vapor deposition in medium pressure

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Run; Liu, Bo; Yang, Xiaoyan; Bao, Zheng; Li, Bing, E-mail: libing70@126.com; Zhang, Jingquan; Li, Wei; Wu, Lili; Feng, Lianghuan

    2016-01-01

    Graphical abstract: - Highlights: • The large-area CdTe film has been prepared by PVD under the pressure of 0.9 kPa. • The as-prepared CdTe thin film processes excellent photovoltaic properties. • This technique is suitable for depositing large-area CdTe thin film. • The 14.6% champion efficiency CdS/CdTe cell has been achieved. - Abstract: The Cadmium telluride (CdTe) thin film has been prepared by physical vapor deposition (PVD), the Ar + O{sub 2} pressure is about 0.9 kPa. This method is a newer technique to deposit CdTe thin film in large area, and the size of the film is 30 × 40 cm{sup 2}. This method is much different from the close-spaced sublimation (CSS), as the relevance between the source temperature and the substrate temperature is weak, and the gas phase of CdTe is transferred to the substrate by Ar + O{sub 2} flow. Through this method, the compact and uniform CdTe film (30 × 40 cm{sup 2}) has been achieved, and the performances of the CdTe thin film have been determined by transmission spectrum, SEM and XRD. The film is observed to be compact with a good crystallinity, the CdTe is polycrystalline with a cubic structure and a strongly preferred (1 1 1) orientation. Using the CdTe thin film (3 × 5 cm{sup 2}) which is taken from the deposited large-area film, the 14.6% efficiency CdS/CdTe thin film solar cell has been prepared successfully. The structure of the cell is glass/FTO/CdS/CdTe/graphite slurry/Au, short circuit current density (J{sub sc}) of the cell is 26.9 mA/cm{sup 2}, open circuit voltage (V{sub oc}) is 823 mV, and filling factor (FF) is 66.05%. This technique can be a quite promising method to apply in the industrial production, as it has great prospects in the fabricating of large-area CdTe film.

  7. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  8. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  9. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  10. Fabrication and characterization of Ni-YSZ anode functional coatings by electron beam physical vapor deposition

    International Nuclear Information System (INIS)

    Meng, B.; Sun, Y.; He, X.D.; Peng, J.H.

    2009-01-01

    Two kinds of NiO-YSZ (yttria-stabilized zirconia) coatings, respectively with uniform and gradient distributions of NiO content along the coating thickness direction, were prepared by electron beam physical vapor deposition (EB-PVD) via adjusting electron beam currents. Then uniform and graded Ni-YSZ coatings were obtained from corresponding NiO-YSZ coatings after a reduction treatment. For uniform Ni-YSZ coating, the composition and porosity distributions along the coating thickness were uniform. The specific surface area and total pore volume for this coating could reach up to 4.330 m 2 g -1 and 0.0346 cm 3 g -1 respectively. The area specific resistance (ASR) of this coating kept increasing with the rise in temperature and an ASR of 2.1 x 10 -5 Ω cm 2 was obtained at 600 o C. For graded Ni-YSZ coating, a gradient in Ni content and porosity was realized along the coating thickness. A high porosity of up to 33% was achieved in the part of the coating close to the substrate, while a low porosity of 10% was obtained in the part close to coating surface.

  11. Development of environmentally compatible tribosystems with PVD-technology

    International Nuclear Information System (INIS)

    Lugscheider, E.; Hornig, T.; Kienitz, S.; Klocke, F.; Krieg, T.

    2001-01-01

    PVD coatings offer a wide variety of applications. The focal point of this work is the development of an advanced type of PVD-hardcoating which allows machining with environmentally compatible lubricants. Representative examples for the investigations are the tribological systems 'turning of quenched and tempered steel 42CrMo4 V' and 'austenitic stainless steel X5CrNi18-10'. Ti-Hf-Cr-N and TiAlN/Al 2 O 3 were deposited by AIP- and H.I.S. TM - process respectively. These coating systems showed best results concerning oxidation wear and abrasive wear in former investigations. This was necessary because main cutting-edge life criterias are oxidation wear and abrasive wear at the minor cutting edge. Consequently, a high oxidation stability and a high hardness at high temperatures are required. (author)

  12. Metal (Ag/Ti-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics

    Directory of Open Access Journals (Sweden)

    Marios Constantinou

    2018-03-01

    Full Text Available This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a–C:H:Me of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD and Physical Vapor Deposition (PVD technologies. The a–C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti. The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR, Raman spectroscopy, Scanning Electron Microscopy (SEM, Atomic Force Microscopy (AFM, Transmission Electron Microscopy (TEM and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a–C:H:Ag and a–C:H:Ti exhibited enhanced nanoscratch resistance (up to +50% and low values of friction coefficient (<0.05, properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  13. CuOX thin films by direct oxidation of Cu films deposited by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    D. Santos-Cruz

    Full Text Available Thin films of Cu2O and CuO oxides were developed by direct oxidation of physical vapor deposited copper films in an open atmosphere by varying the temperature in the range between 250 and 400 °C. In this work, the influence of oxidation temperature on structural, optical and electrical properties of copper oxide films has been discussed. The characterization results revealed that at lower temperatures (<300 °C, it is feasible to obtained coper (I oxide whereas at temperatures higher than 300 °C, the copper (II oxide is formed. The band gap is found to vary in between 1.54 and 2.21 eV depending on the oxidation temperature. Both oxides present p-type electrical conductivity. The carrier concentration has been increased as a function of the oxidation temperature from 1.61 × 1012 at 250 °C to 6.8 × 1012 cm−3 at 400 °C. The mobility has attained its maximum of 34.5 cm2 V−1 s−1 at a temperature of 300 °C, and a minimum of 13.8 cm2 V−1 s−1 for 400 °C. Finally, the resistivity of copper oxide films decreases as a function of oxidation temperature from 5.4 × 106 to 2.4 × 105 Ω-cm at 250 and 400 °C, respectively. Keywords: PVD, Oxidizing annealed treatment, Non-toxic material

  14. corrosion and wear resistant ternary Cr-C-N coatings deposited by the ARC PVD process for machining tools and machining parts

    International Nuclear Information System (INIS)

    Knotek, O.; Lugscheider, E.; Zimmermann, H.; Bobzin, K.

    1997-01-01

    With the deposition of PVD hard coatings on the tools applied in machining operations it is possible to achieve significant improvements in the performance and quality of the machining processes. Depending on the machined material and the operating principle, e.g. turning, milling or drilling, not only different machining parameters but also different coating materials are necessary. In interrupted cut machining of tempered steel, for example, the life time of Ti-C-N coated inserts is several times greater than the Ti-C-N coated ones. This is a result of the favourable thermophysical and tribological properties of Ti-N-C. The potential for tool protection by CrN coatings is a result of the high ductility and low internal stress of this coating materials. CrN films can be deposited with greater film thickness, still maintaining very good adhesion. This paper presents the development of new arc PVD coatings in the system Cr-C-N. Owing to the carbon content in the coating an increased hardness and a better wear behavior in comparison to CrN was expected. The effects of various carbon carrier gases on the coating properties were examined. The coating properties were investigated by mechanical tests. X-ray diffraction, SEM analysis and corrosion tests. Some of the coatings were tested in machining tests. The results of these tests are presented in this paper. (author)

  15. Hemocompatibility of Inorganic Physical Vapor Deposition (PVD Coatings on Thermoplastic Polyurethane Polymers

    Directory of Open Access Journals (Sweden)

    Daniel Heim

    2012-04-01

    Full Text Available Biocompatibility improvements for blood contacting materials are of increasing interest for implanted devices and interventional tools. The current study focuses on inorganic (titanium, titanium nitride, titanium oxide as well as diamond-like carbon (DLC coating materials on polymer surfaces (thermoplastic polyurethane, deposited by magnetron sputtering und pulsed laser deposition at room temperature. DLC was used pure (a-C:H as well as doped with silicon, titanium, and nitrogen + titanium (a-C:H:Si, a-C:H:Ti, a-C:H:N:Ti. In-vitro testing of the hemocompatibility requires mandatory dynamic test conditions to simulate in-vivo conditions, e.g., realized by a cone-and-plate analyzer. In such tests, titanium- and nitrogen-doped DLC and titanium nitride were found to be optimally anti-thrombotic and better than state-of-the-art polyurethane polymers. This is mainly due to the low tendency to platelet microparticle formation, a high content of remaining platelets in the whole blood after testing and low concentration of platelet activation and aggregation markers. Comparing this result to shear-flow induced cell motility tests with e.g., Dictostelium discoideum cell model organism reveals similar tendencies for the investigated materials.

  16. Hemocompatibility of Inorganic Physical Vapor Deposition (PVD) Coatings on Thermoplastic Polyurethane Polymers.

    Science.gov (United States)

    Lackner, Juergen M; Waldhauser, Wolfgang; Hartmann, Paul; Bruckert, Franz; Weidenhaupt, Marianne; Major, Roman; Sanak, Marek; Wiesinger, Martin; Heim, Daniel

    2012-04-17

    Biocompatibility improvements for blood contacting materials are of increasing interest for implanted devices and interventional tools. The current study focuses on inorganic (titanium, titanium nitride, titanium oxide) as well as diamond-like carbon (DLC) coating materials on polymer surfaces (thermoplastic polyurethane), deposited by magnetron sputtering und pulsed laser deposition at room temperature. DLC was used pure (a-C:H) as well as doped with silicon, titanium, and nitrogen + titanium (a-C:H:Si, a-C:H:Ti, a-C:H:N:Ti). In-vitro testing of the hemocompatibility requires mandatory dynamic test conditions to simulate in-vivo conditions, e.g., realized by a cone-and-plate analyzer. In such tests, titanium- and nitrogen-doped DLC and titanium nitride were found to be optimally anti-thrombotic and better than state-of-the-art polyurethane polymers. This is mainly due to the low tendency to platelet microparticle formation, a high content of remaining platelets in the whole blood after testing and low concentration of platelet activation and aggregation markers. Comparing this result to shear-flow induced cell motility tests with e.g., Dictostelium discoideum cell model organism reveals similar tendencies for the investigated materials.

  17. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  18. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  19. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  20. Optical characterization of In{sub 2}S{sub 3} solar cell buffer layers grown by chemical bath and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Trigo, J.F.; Asenjo, B.; Herrero, J.; Gutierrez, M.T. [Department of Energy, CIEMAT, Avda. Complutense, 22, 28040 Madrid (Spain)

    2008-09-15

    In this paper, we study the optical properties of indium sulfide thin films to establish the best conditions to obtain a good solar cell buffer layer. The In{sub 2}S{sub 3} buffer layers have been prepared by chemical bath deposition (CBD) and thermal evaporation (PVD). Optical behavior differences have been found between CBD and PVD In{sub 2}S{sub 3} thin films that have been explained as due to structural, morphological and compositional differences observed in the films prepared by both methods. The resultant refractive index difference has to be attributed to the lower density of the CBD films, which can be related to the presence of oxygen. Its higher refractive index makes PVD film better suited to reduce overall reflectance in a typical CIGS solar cell. (author)

  1. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  2. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  3. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  4. Effect of Annealing Temperature on the Water Contact Angle of PVD Hard Coatings.

    Science.gov (United States)

    Yang, Yu-Sen; Cho, Ting-Pin

    2013-08-07

    Various PVD (physical vapor deposition) hard coatings including nitrides and metal-doped diamond-like carbons (Me-DLC) were applied in plastic injection and die-casting molds to improve wear resistance and reduce sticking. In this study, nitrides hcp-AlN (hexagonal close-packed AlN), Cr₂N, (CrAl)₂N) and Me-DLC (Si-DLC and Cr-DLC) coatings were prepared using a closed field unbalanced magnetron reactive sputtering system. The coatings were annealed in air for 2 h at various temperatures, after which the anti-sticking properties were assessed using water contact angle (WCA) measurements. The as-deposited hcp-AlN, Cr₂N and (CrAl)₂N coatings exhibit hydrophobic behavior and exhibit respective WCAs of 119°, 106° and 101°. The as-deposited Si-DLC and Cr-DLC coatings exhibit hydrophilic behavior and exhibit respective WCAs of 74° and 88°. The annealed Cr₂N and (CrAl)₂N coatings exhibit hydrophobic behavior with higher WCAs, while the annealed hcp-AlN, Si-DLC and Cr-DLC coatings are hydrophilic. The increased WCA of the annealed Cr₂N and (CrAl)₂N coatings is related to their crystal structure and increased roughness. The decreased WCA of the annealed hcp-AlN, Si-DLC and Cr-DLC coatings is related to their crystal structures and has little correlation with roughness.

  5. Iron-regulated transcription of the pvdA gene in Pseudomonas aeruginosa: effect of Fur and PvdS on promoter activity.

    OpenAIRE

    Leoni, L; Ciervo, A; Orsi, N; Visca, P

    1996-01-01

    The pvdA gene, encoding the enzyme L-ornithine N5-oxygenase, catalyzes a key step of the pyoverdin biosynthetic pathway in Pseudomonas aeruginosa. Expression studies with a promoter probe vector made it possible to identify three tightly iron-regulated promoter regions in the 5.9-kb DNA fragment upstream of pvdA. The promoter governing pvdA expression was located within the 154-bp sequence upstream of the pvdA translation start site. RNA analysis showed that expression of PvdA is iron regulat...

  6. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  7. Wear of Shaped Surfaces of PVD Coated Dies for Clinching

    Directory of Open Access Journals (Sweden)

    Miroslav Džupon

    2017-11-01

    Full Text Available A clinching method that uses a simple toolset consisting of a punch and a die, is utilized for joining lightweight materials. This paper is aimed at investigating the wear of the die cavity of a clinching tool. A clinching tool with a specially shaped cavity was used for joining thin hot-dip galvanized steel sheets. Various types of physical vapour deposition (PVD coatings such as ZrN, CrN and TiCN were deposited on the shaped surface of the die using Lateral Rotating Arc-Cathodes technology. Hot-dip galvanized steel sheets were used for testing the clinching tool. The material properties of PVD coatings that were deposited on the shaped part of the clinching die were evaluated. Finite Element Analysis was used to localize the area of the shaped part of the die and the part of surface area of the cylindrical die cavity of ϕ 5.0 mm, in which high contact pressure values were predicted. The prediction of the start of the wear cycle was verified experimentally by the clinching of 300 samples of hot-dip galvanized steel sheets. Unlike the CrN and ZrN coatings, the TiCN coating remained intact on the entire surface of the die.

  8. Interfacial fatigue stress in PVD TiN coated tool steels under rolling contact fatigue conditions

    OpenAIRE

    Carvalho, N.J.M.; Huis in ’t Veld, A.J.; Hosson, J.Th. De

    1998-01-01

    Titanium–nitrogen (TiN) films were Physical Vapour Deposited (PVD) on tool steel substrates with different hardness and surface roughness, in a Bai 640R unit using a triode ion plating (e-gun) with a high plasma density. The coated substrates were submitted to a rolling contact fatigue test technique (modified pin-on-ring test) to obtain some clarifications of the mechanism of interfacial failure. Tests were run using PVD-coated rings finished by polishing or grinding to produce different sur...

  9. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  10. Thermal cycling behaviour of lanthanum zirconate as EB-PVD thermal barrier coating

    International Nuclear Information System (INIS)

    Bobzin, K.; Lugscheider, E.; Bagcivan, N.

    2006-01-01

    Thermal cycling tests with two different EB-PVD thermal barrier coatings (TBC) were performed in a furnace cycle test. The results of these tests showed an increase of endurable cycle number when pyrochloric La 2 Zr 2 O 7 was used as TBC. 1865 cycles were reached with La 2 Zr 2 O 7 and 1380 cycles with 7 weigth-% yttria stabilised zirconia (YSZ) EB-PVD TBC. Additional investigation was made with scanning electron microscope (SEM) to investigate morphology and to determine chemical composition by electron dispersive x-ray spectroscopy (EDS) analysis. X-Ray diffraction was performed to analyze structural constitution of deposited coatings. (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  11. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  12. Depth-resolved X-ray residual stress analysis in PVD (Ti, Cr) N hard coatings

    CERN Document Server

    Genzel, C

    2003-01-01

    Physical vapour deposition (PVD) of thin hard coatings on TiN basis is usually performed at rather low temperatures (T sub D < 500 C) far from thermal equilibrium, which leads to high intrinsic residual stresses in the growing film. In contrast to the extrinsic thermal residual stresses which can easily be estimated from the difference of the coefficients of thermal expansion between the substrate and the coating, a theoretical prediction of the intrinsic residual stresses is difficult, because their amount as well as their distribution within the film depend in a very complex way on the deposition kinetics. By the example of strongly fibre-textured PVD (Ti, Cr)N coatings which have been prepared under defined variation of the deposition parameters in order to adjust the residual stress distribution within the coatings, the paper compares different X-ray diffraction techniques with respect to their applicability for detecting residual stresses which are non-uniform over the coating thickness. (orig.)

  13. Controllable synthesis and defect-dependent photoluminescence properties of In2O3 nanostructures prepared by PVD

    Science.gov (United States)

    Jin, Changqing; Wei, Yongxing; Peterson, George; Zhu, Kexin; Jian, Zengyun

    2017-05-01

    In2O3 nanostructures were successfully synthesized via physical vapor deposition (PVD). It was found that the morphology of nanostuctures could be controlled by manipulation of the synthesis temperature, growth time, use of a Au-catalyst, selection of substrate material, and vapor pressure. A higher synthesis temperature is more favorable for the formation of 1D nanostructures. An increased growth time increased the width and length of the 1D nanostructures. Through the use of a Au-catalyst coated Si (1 0 0) substrate, we were able to preferentially synthesize (1 0 0) In2O3 nanostructures, even at lower growth temperatures. This research shows that a Au-catalyst is necessary for the formation of one-dimensional (1D) In2O3 nanostructures. Three dimensional (3D) octahedral nanoparticles are resultant from a Au-free Si (1 0 0) substrate. Al2O3 (1 0 0) substrates were found to be energetically favorable for the synthesis of nanofilms, not 1D nanostructures, regardless of the presence of Au-catalyst. The photoluminescence curves indicate that the defect related luminescence is not a function of morphology, but rather the ratio of the partial vapor pressures of the constituent elements (In and O), which were controlled by the growth pressure.

  14. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  15. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  16. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  17. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  18. High temperature oxidation behavior of hafnium modified NiAl bond coat in EB-PVD thermal barrier coating system

    Energy Technology Data Exchange (ETDEWEB)

    Guo Hongbo; Sun Lidong; Li Hefei [Department of Material Science and Engineering, Beijing University of Aeronautics and Astronautics, No.37 Xueyuan Road, Beijing 100083 (China); Gong Shengkai [Department of Material Science and Engineering, Beijing University of Aeronautics and Astronautics, No.37 Xueyuan Road, Beijing 100083 (China)], E-mail: gongsk@buaa.edu.cn

    2008-06-30

    NiAl coatings doped with 0.5 at.% and 1.5 at.% Hf were produced by co-evaporation of NiAl and Hf ingots by electron beam physical vapor deposition (EB-PVD), respectively. The addition of 0.5 at.% Hf significantly improved the cyclic oxidation resistance of the NiAl coating. The TGO layer in the 1.5 at.% Hf doped NiAl coating is straight; while that in the 0.5 at.% Hf doped coating became undulated after thermal cycling. The doped NiAl thermal barrier coatings (TBCs) revealed improved thermal cycling lifetimes at 1423 K, compared to the undoped TBC. Failure of the 0.5 at.% Hf doped TBC occurred by cracking at the interface between YSZ topcoat and bond coat, while the 1.5 at.% Hf doped TBC cracked at the interface between bond coat and substrate.

  19. Plasma-surface interaction at sharp edges and corners during ion-assisted physical vapor deposition. Part I: Edge-related effects and their influence on coating morphology and composition

    International Nuclear Information System (INIS)

    Macak, E.B.; Muenz, W.-D.; Rodenburg, J.M.

    2003-01-01

    Ion-assisted physical vapor deposition (PVD) is a common industrial method for growing thin coatings of various interstitial nitride alloys. The interaction between the ions and three-dimensional nonflat samples during the deposition can, however, lead to unwanted local changes in the properties of the coating and thus its performance. We analyze the characteristics of the ion bombardment during ion-assisted PVD on sharp convex substrates and their effect on the growing coating. We show that the magnitude and the spatial extent of the edge-related changes are directly related to the characteristics of the plasma sheath around the biased edges. We examine the influence of the edge geometry and the deposition conditions. The edge-related effects are studied on the example of wedge-shaped samples coated with TiAlN/VN by closed-field unbalanced magnetron deposition process using high-flux low-energy Ar + -ion irradiation (J i /J me ∼4, E i =75-150 eV). The samples are analyzed by scanning electron microscopy and energy-dispersive x-ray spectroscopy. Significant changes in the morphology, thickness, and composition of the coatings are found in the edge region. In order to account for the changes, we apply a self-consistent model of the plasma sheath around wedge-shaped samples proposed by Watterson [J. Phys. D 22, 1300 (1989)], to our conditions. For a 30 deg. wedge coated at -150 V, the resputtering rate in the edge region is found to be increased by up to ten times as compared to flat substrate areas. The effect is due to the combined action of an increased ion flux and increased sputtering yield as a result of the nonperpendicular angle of incidence of ions in the edge region. The situation at sharp corners, where even more severe effects are observed, is analyzed and modeled in the companion article E. B. Macak et al., J. Appl. Phys. (2003) (Part II)

  20. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  1. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  2. Improvement in ductility of high strength polycrystalline Ni-rich Ni{sub 3}Al alloy produced by EB-PVD

    Energy Technology Data Exchange (ETDEWEB)

    Sun, J.Y.; Pei, Y.L.; Li, S.S.; Zhang, H.; Gong, S.K., E-mail: gongsk@buaa.edu.cn

    2014-11-25

    Highlights: • High strength and high ductility of polycrystalline Ni-rich Ni{sub 3}Al alloy sheets were produced. • The elongation could be enhanced from ∼0.5% to ∼14.6% by microstructural control. • The fracture strength (∼820 MPa) was enhanced by the precipitation strengthening. • This work provides a general processing for repairing the worn single crystal blades. - Abstract: A 300 μm Ni-rich Ni{sub 3}Al sheet was produced by electron beam physical vapor deposition (EB-PVD) and followed by different heat treatments to obtain fine γ′/γ two-phase structures with large elongation. Tensile testing was performed at room-temperature, and the corresponding mechanisms were investigated in detail. Results indicated that the as-deposited Ni{sub 3}Al alloy exhibited non-equilibrium directional columnar crystal, and transited to equiaxed crystal with uniformly distributed tough γ phase after heat treatment. Meanwhile, the fracture mechanism transited from brittleness to a mixture of ductility and brittleness modes. With an appropriate heat treatment, high strength (ultimate tensile strength obtained 828 MPa) and high ductility (elongation obtained 14.6%) Ni{sub 3}Al alloy has been achieved, which was due to the mesh network microstructure. A series of transmission electron microscope (TEM) characterizations confirmed that the increasing flow stress of Ni{sub 3}Al alloy was attributed to the cubical secondary γ′ phase precipitates (25–50 nm) within the γ phase. This work provides a potential strategy for repairing the worn tip of single crystal engine blades using Ni-rich Ni{sub 3}Al alloy by EB-PVD.

  3. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  4. Thermal shock testing of low-Z coatings with pulsed hydrogen beams

    International Nuclear Information System (INIS)

    Nakamura, Kazuyuki

    1982-03-01

    Thermal shock testing of candidate low-Z surface coatings for JT-60 application has been made by using a pulsed hydrogen beam apparatus which is operated at a power density of 2KW/cm 2 . The materials tested are PVD (Physical Vapor Deposited) TiC and PVD and CVD (Chemical Vapor Deposited) TiN on molybdenum and Inconel 625. The result shows that CVD TiC on Mo and CVD TiN on Inconel are the most interesting choices for the coating-substrate combinations. (author)

  5. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  6. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  7. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  8. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  9. Effect of Annealing Temperature on the Water Contact Angle of PVD Hard Coatings

    Science.gov (United States)

    Yang, Yu-Sen; Cho, Ting-Pin

    2013-01-01

    Various PVD (physical vapor deposition) hard coatings including nitrides and metal-doped diamond-like carbons (Me-DLC) were applied in plastic injection and die-casting molds to improve wear resistance and reduce sticking. In this study, nitrides hcp-AlN (hexagonal close-packed AlN), Cr2N, (CrAl)2N) and Me-DLC (Si-DLC and Cr-DLC) coatings were prepared using a closed field unbalanced magnetron reactive sputtering system. The coatings were annealed in air for 2 h at various temperatures, after which the anti-sticking properties were assessed using water contact angle (WCA) measurements. The as-deposited hcp-AlN, Cr2N and (CrAl)2N coatings exhibit hydrophobic behavior and exhibit respective WCAs of 119°, 106° and 101°. The as-deposited Si-DLC and Cr-DLC coatings exhibit hydrophilic behavior and exhibit respective WCAs of 74° and 88°. The annealed Cr2N and (CrAl)2N coatings exhibit hydrophobic behavior with higher WCAs, while the annealed hcp-AlN, Si-DLC and Cr-DLC coatings are hydrophilic. The increased WCA of the annealed Cr2N and (CrAl)2N coatings is related to their crystal structure and increased roughness. The decreased WCA of the annealed hcp-AlN, Si-DLC and Cr-DLC coatings is related to their crystal structures and has little correlation with roughness. PMID:28811440

  10. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  11. Novel composite cBN-TiN coating deposition method: structure and performance in metal cutting

    International Nuclear Information System (INIS)

    Russell, W.C.; Malshe, A.P.; Yedave, S.N.; Brown, W.D.

    2001-01-01

    Cubic boron nitride coatings are under development for a variety of applications but stabilization of the pure cBN form and adhesion of films deposited by PVD and ion-based methods has been difficult. An alternative method for depositing a composite cBN-TiN film has been developed for wear related applications. The coating is deposited in a two-stage process utilizing ESC (electrostatic spray coating) and CVI (chemical vapor infiltration). Fully dense films of cBN particles evenly dispersed in a continuous TiN matrix have been developed. Testing in metal cutting has shown an increase in tool life (turning - 4340 steel) of three to seven times, depending of machining parameters, in comparison with CVD deposited TiN films. (author)

  12. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  13. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  14. Surface characteristics of hydroxyapatite-coated layer prepared on nanotubular Ti–35Ta–xHf alloys by EB-PVD

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Yong-Hoon [Department of Dental Materials and Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Biomechanics and Tissue Engineering Laboratory, Division of Orthodontics, College of Dentistry, The Ohio State University, Columbus, OH (United States); Moon, Byung-Hak [Department of Dental Materials and Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials and Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Brantley, William A. [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, Columbus, OH (United States)

    2013-12-31

    In this study, we investigated the surface characteristics of hydroxyapatite (HA)-coated layers prepared by electron-beam physical vapor deposition (EB-PVD) on nanotubular Ti–35Ta–xHf alloys (x = 3, 7, and 15 wt.%). Ti–35Ta–xHf alloys were first prepared by arc melting. Formation of a nanotube structure on these alloys was achieved by an electrochemical method in 1 M H{sub 3}PO{sub 4} + 0.8 wt.% NaF electrolytes. The HA coatings were then deposited on the nanotubular surface by an EB-PVD method. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray diffraction (XRD). The electrochemical behavior was examined using a potentiodynamic polarization test in 0.9% NaCl solution. The Ti–35Ta–xHf alloys had an equiaxed grain structure with α″ + β phases, and the α″ phase disappeared with increases in Hf content. The Ti–35Ta–15Hf alloy showed higher β-phase peak intensity in the XRD patterns than that for the lower Hf-content alloys. A highly ordered nanotubular oxide layer was formed on the Ti–35Ta–15Hf alloy, and the tube length depended on Hf content. The HA coating surface formed at traces of the nanotubular titanium oxide layer and completely covered the tips of the nanotubes with a cluster shape. From the potentiodynamic polarization tests, the incorporation of Hf element and formation of the nanotubular structure were the main factors for achieving lower current density. In particular, the surface of the HA coating on the nanotubular structure exhibited higher corrosion resistance than that of the nanotubular titanium oxide structure without an HA coating. - Highlights: • Hydroxyapatite (HA) was coated on nanotubular Ti–35Ta–xHf alloys, using EB-PVD. • Increasing the Hf content reduced the relative proportion of α″ martensite to β-Ti in the microstructures. • The detailed nanotubular structure formed by anodization depended on alloy composition

  15. Surface characteristics of hydroxyapatite-coated layer prepared on nanotubular Ti–35Ta–xHf alloys by EB-PVD

    International Nuclear Information System (INIS)

    Jeong, Yong-Hoon; Moon, Byung-Hak; Choe, Han-Cheol; Brantley, William A.

    2013-01-01

    In this study, we investigated the surface characteristics of hydroxyapatite (HA)-coated layers prepared by electron-beam physical vapor deposition (EB-PVD) on nanotubular Ti–35Ta–xHf alloys (x = 3, 7, and 15 wt.%). Ti–35Ta–xHf alloys were first prepared by arc melting. Formation of a nanotube structure on these alloys was achieved by an electrochemical method in 1 M H 3 PO 4 + 0.8 wt.% NaF electrolytes. The HA coatings were then deposited on the nanotubular surface by an EB-PVD method. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray diffraction (XRD). The electrochemical behavior was examined using a potentiodynamic polarization test in 0.9% NaCl solution. The Ti–35Ta–xHf alloys had an equiaxed grain structure with α″ + β phases, and the α″ phase disappeared with increases in Hf content. The Ti–35Ta–15Hf alloy showed higher β-phase peak intensity in the XRD patterns than that for the lower Hf-content alloys. A highly ordered nanotubular oxide layer was formed on the Ti–35Ta–15Hf alloy, and the tube length depended on Hf content. The HA coating surface formed at traces of the nanotubular titanium oxide layer and completely covered the tips of the nanotubes with a cluster shape. From the potentiodynamic polarization tests, the incorporation of Hf element and formation of the nanotubular structure were the main factors for achieving lower current density. In particular, the surface of the HA coating on the nanotubular structure exhibited higher corrosion resistance than that of the nanotubular titanium oxide structure without an HA coating. - Highlights: • Hydroxyapatite (HA) was coated on nanotubular Ti–35Ta–xHf alloys, using EB-PVD. • Increasing the Hf content reduced the relative proportion of α″ martensite to β-Ti in the microstructures. • The detailed nanotubular structure formed by anodization depended on alloy composition. • The

  16. Tribological properties of anti-wear PVD coatings for elevated temperatures application deposited onto X37CrMoV5-1 type hot work steel

    International Nuclear Information System (INIS)

    Dobrzanski, L.A.; Polok, M.; Adamiak, M.

    2003-01-01

    The paper presents results of tribological and adhesion investigations of anti-wear PVD coatings TiN, TiN/(Ti,Al)N and CrN types deposited in ion plating PVD process onto X37CrMoV5-1 type hot work tool steel. It was found that damage mechanism during scratch test in all investigated coatings begins with multiple spallings located on the scratch edges followed by cracking and tool coatings delamination. Regarding to the coating types it can be seen different location of such damages and loads typical for them. According to this observations it can be stated that highest adhesion among investigated coating present, CrN monolayer coating and the lowest one multilayers Ti/(Ti,Al)N coating. The wear resistance was investigated by pin-on-disc method performed in room and elevated to 500 o C temperatures. It was found that the lowest wear in to fixed investigation conditions in both room and elevated temperatures shows TiN monolayer coating. Additionally one can see that TiN coatings application improve wear resistance some five times. (author)

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  19. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  20. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  1. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  5. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  6. Stress analysis and microstructure of PVD monolayer TiN and multilayer TiN/(Ti,Al)N coatings

    NARCIS (Netherlands)

    Carvalho, NJM; Zoestbergen, E; Kooi, BJ; De Hosson, JTM

    2003-01-01

    Two PVD titanium nitride based coatings; monolayer TiN and multilayer resulting from the stacking of TiN and (Ti,Al)N layers were evaluated with respect to their stress state and microstructure. The TiN was deposited by triode evaporation ion plating, whereas the TiN/(Ti,AI)N was deposited using a

  7. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  8. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  9. Wear of different PVD coatings at industrial fine-blanking field tests

    Directory of Open Access Journals (Sweden)

    Liina Lind

    2015-09-01

    Full Text Available Thin hard physical vapor deposited (PVD coatings play significant role on wear performance of fine-blanking punches in the presence of extremely high contact stresses. Nevertheless it seems that in blanking or fine-blanking the coatings are selected based on coincidence, trial-error-method or latest trends. There is limited information about planning and conducting the fine-blanking industrial field tests and measuring the wear of different coatings. In the present study a set of fine-blanking punches and laboratory specimens were prepared with three coatings – TiCN, nACRo and nACo. As substrate material Böhler S390 Microclean high speed steel was used. Coating mechanical properties (modulus of elasticity and nanohardness were measured and wear rate with alumina ball was determined using the reciprocating sliding test. Wear of coatings was measured from punches after industrial use. All of the tested coatings showed high variance of wear. However coatings nACo and nACRo have better average wear resistance in fine-blanking compared with the well-known TiCN. Industrial field tests show correlation to the ratio elastic strain to failure H/E.DOI: http://dx.doi.org/10.5755/j01.ms.21.3.7249

  10. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  11. MeCrAl coatings obtained by arc PVD and pack cementation processes on nickel base superalloys

    International Nuclear Information System (INIS)

    Swadzba, L.; Maciejny, A.; Formanek, B.; Mendala, B.

    1997-01-01

    The paper presents the results of researches on obtaining and structure of high temperature resistance coatings on superalloys. The coatings were deposited on nickel and nickel base superalloys in two stages. During the first stage, the NiCr and NiCrHf coatings were obtained by arc-PVD method. Basic technology, bias, arc current, rotation, parameters of deposition of NiCr and MeCrHf coatings were defined. The high efficiency of deposition of both single and two sources was observed. The targets were made by vacuum melting and machining. An influence of targets chemical composition on coating structure and chemical coatings composition was described. The second stage was made by pack cementation HTLA (high temperature low activity) on 1323 K chromoaluminizing process. These arc-PVD and diffusion (pack cementation) connected processes permitted to obtain MeCrAl and MeCrAlHf type of coatings. The morphology, structure and microchemical composition were characterized by scanning electron microscopy, X-ray microanalysis, energy dispersive X-ray spectroscopy and X-ray diffraction methods. (orig.)

  12. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  13. Effect of Coating Thickness on the Properties of TiN Coatings Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Mubarak, A.; Akhter, Parvez; Hamzah, Esah; Mohd Toff, Mohd Radzi Hj.; Qazi, Ishtiaq A.

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The study concentrated on cathodic arc physical vapor deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MDs) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester, and pin-on-disc machine, were used to analyze and quantify the following properties and parameters: surface morphology, thickness, hardness, adhesion, and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MDs produced during the etching stage, protruded through the TiN film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 μm showed the most stable trend of COF versus sliding distance.

  14. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  15. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  16. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  17. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  18. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  19. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  20. Interfacial fatigue stress in PVD TiN coated tool steels under rolling contact fatigue conditions

    NARCIS (Netherlands)

    Carvalho, N.J.M.; Huis in 't Veld, A.J.; Hosson, J.T. de

    1998-01-01

    Titanium-nitrogen (TiN) films were Physical Vapour Deposited (PVD) on tool steel substrates with different hardness and surface roughness, in a Bai 640R unit using a triode ion plating (e-gun) with a high plasma density. The coated substrates were submitted to a rolling contact fatigue test

  1. Interfacial fatigue stress in PVD TiN coated tool steels under rolling contact fatigue conditions

    NARCIS (Netherlands)

    Carvalho, N.J.M.; Huis in ’t Veld, A.J.; Hosson, J.Th. De

    1998-01-01

    Titanium–nitrogen (TiN) films were Physical Vapour Deposited (PVD) on tool steel substrates with different hardness and surface roughness, in a Bai 640R unit using a triode ion plating (e-gun) with a high plasma density. The coated substrates were submitted to a rolling contact fatigue test

  2. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  3. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  4. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  5. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  6. The Study of Selected Properties of Ti EB PVD Coating Deposited Onto Inner Tube Surface at Low Temperature

    Directory of Open Access Journals (Sweden)

    Kottfer D.

    2016-03-01

    Full Text Available This study investigates the selected properties of the thin Ti coating applied by activated evaporation EB PVD technique. This technique was used for the deposition of Ti thin coating onto inner surface of OKhN3 MFA steel tubes. Deposition process was carried out at temperature 200°C. Conventional type of coatings - monolayer Ti - was analyzed by standard techniques for surface status and quality assessment - coating thickness, chemical composition by EDX analysis, adhesion, hardness, roughness, and growth direction of columns at room temperature. Ti monolayer achieved roughness Ra equal from 0.42 μm to 0.47 μm. The resulting hardness was from 2 GPa to 8.5 GPa depending on the sample location inside the vacuum chamber. Placing of the coated surface also affected the direction of grain growth of Ti coating columns. The angles α of grain growth were found to be from 40° to 60°. Angle α increased two to three times more than the incidence angle β (from 12° to 28° of evaporated Ti particles. Values of the adhesion measured along the Ti growth direction were mostly higher (up to 10% or the same as those measured perpendicular to it.

  7. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  8. Double-ceramic-layer thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/La{sub 2}Ce{sub 2}O{sub 7} deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Z.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); He, L.M., E-mail: he_limin@yahoo.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Mu, R.D.; He, S.M.; Huang, G.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao, X.Q., E-mail: xcao@ciac.jl.cn [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-03-15

    Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and La{sub 2}Ce{sub 2}O{sub 7} (LC) were deposited by electron beam-physical vapor deposition (EB-PVD). The composition, interdiffusion, surface and cross-sectional morphologies, cyclic oxidation behavior of DCL coating were studied. Energy dispersive spectroscopy and X-ray diffraction analyses indicate that both LZ7C3 and LC coatings are effectively fabricated by a single LZ7C3 ingot with properly controlling the deposition energy. The chemical compatibility of LC coating and thermally grown oxide (TGO) layer is unstable. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} which is the main composition of TGO layer. Additionally, the thermal cycling behavior of DCL coating is influenced by the interdiffusion of Zr and Ce between LZ7C3 and LC coatings. The failure of DCL coating is a result of the sintering of LZ7C3 coating surface, the chemical incompatibility of LC coating and TGO layer and the abnormal oxidation of bond coat. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL coating is an important development direction of TBCs.

  9. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  10. P-6 : Impact of buffer layers on the self-aligned top-gate a-IGZO TFT characteristics

    NARCIS (Netherlands)

    Nag, M.; en de rest

    2015-01-01

    In this work we present the impact of buffer layers deposited by various techniques such as plasma enhanced chemical deposition (PECVD), physical vapor deposition (PVD) and atomic layer deposition (ALD) techniques on self-aligned (SA) top gate amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) TFT

  11. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  12. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  13. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ from which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a very

  14. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  15. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  16. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  17. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  18. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  19. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  20. A novel monolithic LEU foil target based on a PVD manufacturing process for 99Mo production via fission.

    Science.gov (United States)

    Hollmer, Tobias; Petry, Winfried

    2016-12-01

    99 Mo is the most widely used radioactive isotope in nuclear medicine. Its main production route is the fission of uranium. A major challenge for a reliable supply is the conversion from highly enriched uranium (HEU) to low enriched uranium (LEU). A promising candidate to realize this conversion is the cylindrical LEU irradiation target. The target consists of a uranium foil encapsulated between two coaxial aluminum cladding cylinders. This target allows a separate processing of the irradiated uranium foil and the cladding when recovering the 99 Mo. Thereby, both the costs and the volume of highly radioactive liquid waste are significantly reduced compared to conventional targets. The presented manufacturing process is based on the direct coating of the uranium on the inside of the outer cladding cylinder. This process was realized by a cylindrical magnetron enhanced physical vapor deposition (PVD) technique. The method features a highly automated process, a good quality of the resulting uranium foils and a high material utilization. Copyright © 2016 Elsevier Ltd. All rights reserved.

  1. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  2. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  3. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  4. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  6. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  7. Impedance study on the corrosion of PVD and CVD titanium nitride coatings

    International Nuclear Information System (INIS)

    Elsener, B.; Rota, A.; Boehni, H.

    1989-01-01

    Titanium nitride (TiN) coatings, produced by physical (PVD) or chemical (CVD) vapor deposition techniques are used routinely to improve the wear and corrosion resistance of a surface. The main problem in using TiN as a protective coating in aggressive environements are pores and pinholes in the coating where the substrate is exposed to the electrolyte. In this work, the electrochemical and corrosion behaviour of TiN films on quartz glass, carbon steel, 304 and 316 stainless steel is studied by polarization curves and electrochemical impedance spectroscopy (EIS) in hydrochloric acid. It is shown that the TiN coating can be used successfully only on substrates that passivate easily. On mild steel rapid corrosion takes place at pores in the coating due to the very noble steady state potential of the TiN coating. The interaction of the metallic substrate with the TiN coating is discussed for the two limiting cases mild steel (active) and 316SS (passive). It is shown that the determination of the coating porosity is possible for the active substrate only. On the passive substrate the occurence of an additional time constant in the high frequency region of the spectrum qualitatively indicates the presence of pores. A quality control of the coatings based on this fact might be possible. (author) 15 refs., 6 figs., 2 tabs

  8. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  9. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  10. Evaluation of Osseous Integration of PVD-Silver-Coated Hip Prostheses in a Canine Model

    Directory of Open Access Journals (Sweden)

    Gregor Hauschild

    2015-01-01

    Full Text Available Infection associated with biomaterials used for orthopedic prostheses remains a serious complication in orthopedics, especially tumor surgery. Silver-coating of orthopedic (megaprostheses proved its efficiency in reducing infections but has been limited to surface areas exposed to soft tissues due to concerns of silver inhibiting osseous integration of cementless stems. To close this gap in the bactericidal capacity of silver-coated orthopedic prostheses extension of the silver-coating on surface areas intended for osseous integration seems to be inevitable. Our study reports about a PVD- (physical-vapor-deposition- silver-coated cementless stem in a canine model for the first time and showed osseous integration of a silver-coated titanium surface in vivo. Radiological, histological, and biomechanical analysis revealed a stable osseous integration of four of nine stems implanted. Silver trace elemental concentrations in serum did not exceed 1.82 parts per billion (ppb and can be considered as nontoxic. Changes in liver and kidney functions associated with the silver-coating could be excluded by blood chemistry analysis. This was in accordance with very limited metal displacement from coated surfaces observed by laser ablation inductively coupled plasma-mass spectrometry (LA-ICP-MS 12 months after implantation. In conclusion our results represent a step towards complete bactericidal silver-coating of orthopedic prostheses.

  11. Evaluation of osseous integration of PVD-silver-coated hip prostheses in a canine model.

    Science.gov (United States)

    Hauschild, Gregor; Hardes, Jendrik; Gosheger, Georg; Stoeppeler, Sandra; Ahrens, Helmut; Blaske, Franziska; Wehe, Christoph; Karst, Uwe; Höll, Steffen

    2015-01-01

    Infection associated with biomaterials used for orthopedic prostheses remains a serious complication in orthopedics, especially tumor surgery. Silver-coating of orthopedic (mega)prostheses proved its efficiency in reducing infections but has been limited to surface areas exposed to soft tissues due to concerns of silver inhibiting osseous integration of cementless stems. To close this gap in the bactericidal capacity of silver-coated orthopedic prostheses extension of the silver-coating on surface areas intended for osseous integration seems to be inevitable. Our study reports about a PVD- (physical-vapor-deposition-) silver-coated cementless stem in a canine model for the first time and showed osseous integration of a silver-coated titanium surface in vivo. Radiological, histological, and biomechanical analysis revealed a stable osseous integration of four of nine stems implanted. Silver trace elemental concentrations in serum did not exceed 1.82 parts per billion (ppb) and can be considered as nontoxic. Changes in liver and kidney functions associated with the silver-coating could be excluded by blood chemistry analysis. This was in accordance with very limited metal displacement from coated surfaces observed by laser ablation inductively coupled plasma-mass spectrometry (LA-ICP-MS) 12 months after implantation. In conclusion our results represent a step towards complete bactericidal silver-coating of orthopedic prostheses.

  12. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  13. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  14. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  15. Tribo-electrochemical characterization of hafnium multilayer systems deposited on nitride/vanadium nitride AISI 4140 steel

    Science.gov (United States)

    Mora, M.; Vera, E.; Aperador, W.

    2016-02-01

    In this work is presented the synergistic behaviour among corrosion/wear (tribocorrosion) of the multilayer coatings hafnium nitride/vanadium nitride [HfN/VN]n. The multilayers were deposited on AISI 4140 steel using the technique of physical vapor deposition PVD magnetron sputtering, the tests were performed using a pin-on-disk tribometer, which has an adapted potentiostat galvanostat with three-electrode electrochemical cell. Tribocorrosive parameters such as: Friction coefficient between the coating and the counter body (100 Cr6 steel ball); Polarization resistance by means of electrochemical impedance spectroscopy technique and corrosion rate by polarization curves were determined. It was observed an increase in the polarization resistance, a decrease in the corrosion rate and a low coefficient of friction in comparison with the substrate, due to an increase on the number of bilayers.

  16. Tribo-electrochemical characterization of hafnium multilayer systems deposited on nitride/vanadium nitride AISI 4140 steel

    International Nuclear Information System (INIS)

    Mora, M; Vera, E; Aperador, W

    2016-01-01

    In this work is presented the synergistic behaviour among corrosion/wear (tribocorrosion) of the multilayer coatings hafnium nitride/vanadium nitride [HfN/VN]n. The multilayers were deposited on AISI 4140 steel using the technique of physical vapor deposition PVD magnetron sputtering, the tests were performed using a pin-on-disk tribometer, which has an adapted potentiostat galvanostat with three-electrode electrochemical cell. Tribocorrosive parameters such as: Friction coefficient between the coating and the counter body (100 Cr6 steel ball); Polarization resistance by means of electrochemical impedance spectroscopy technique and corrosion rate by polarization curves were determined. It was observed an increase in the polarization resistance, a decrease in the corrosion rate and a low coefficient of friction in comparison with the substrate, due to an increase on the number of bilayers. (paper)

  17. Thermal cycling behavior of La{sub 2}Zr{sub 2}O{sub 7} coating with the addition of Y{sub 2}O{sub 3} by EB-PVD

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resources Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resources Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Mu Rende; He Shimei [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resources Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) of La{sub 2}Zr{sub 2}O{sub 7} (LZ) with the addition of 3 wt.% Y{sub 2}O{sub 3} (LZ3Y) were deposited by electron beam-physical vapor deposition (EB-PVD). The phase structures, surface and cross-sectional morphologies, cyclic oxidation behaviors of these coatings were studied in detail. The thermal cycling test at 1373 K in an air furnace indicates that the LZ3Y coating has a lifetime of 617 cycles which is about 10% longer than that of LZ coating. The improvement of chemical homogeneity of the coating, the superior growth behavior of columns and the favorable mechanical properties are all very helpful to the prolongation of thermal cycling life of LZ3Y coating. The failure of LZ and LZ3Y coatings is mainly a result of the excess La{sub 2}O{sub 3}, the chemical incompatibility of ceramic coatings with TGO layer, the thermal expansion mismatch between ceramic coatings and bond coat, and the outward diffusion of alloying elements into the ceramic coatings.

  18. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  19. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  20. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  1. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  2. Relation of Thermal Conductivity with Process Induced Anisotropic Void Systems in EB-PVD PYSZ Thermal Barrier Coatings

    Energy Technology Data Exchange (ETDEWEB)

    Renteria, A. Flores; Saruhan-Brings, B.; Ilavsky, J.

    2008-03-03

    Thermal barrier coatings (TBCs) deposited by Electron-beam physical deposition (EB-PVD) protect the turbine blades situated at the high pressure sector of the aircraft and stationary turbines. It is an important task to uphold low thermal conductivity in TBCs during long-term service at elevated temperatures. One of the most promising methods to fulfil this task is to optimize the properties of PYSZ-based TBC by tailoring its microstructure. Thermal conductivity of the EB-PVD produced PYSZ TBCs is influenced mainly by the size, shape, orientation and volume of the various types of porosity present in the coatings. These pores can be classified as open (inter-columnar and between feather arms gaps) and closed (intra-columnar pores). Since such pores are located within the three-dimensionally deposited columns and enclose large differences in their sizes, shapes, distribution and anisotropy, the accessibility for their characterization is very complex and requires the use of sophisticated methods. In this work, three different EB-PVD TBC microstructures were manufactured by varying the process parameters, yielding various characteristics of their pores. The corresponding thermal conductivities in as-coated state and after ageing at 11000C/1h and 100h were measured via Laser Flash Analysis Method (LFA). The pore characteristics and their individual effect on the thermal conductivity are analysed by USAXS which is supported by subsequent modelling and LFA methods, respectively. Evident differences in the thermal conductivity values of each microstructure were found in as-coated and aged conditions. In summary, broader columns introduce higher values in thermal conductivity. In general, thermal conductivity increases after ageing for all three investigated microstructures, although those with initial smaller pore surface area show smaller changes.

  3. Relation of thermal conductivity with process induced anisotropic void system in EB-PVD PYSZ thermal barrier coatings.

    Energy Technology Data Exchange (ETDEWEB)

    Renteria, A. F.; Saruhan, B.; Ilavsky, J.; German Aerospace Center

    2007-01-01

    Thermal barrier coatings (TBCs) deposited by Electron-beam physical deposition (EB-PVD) protect the turbine blades situated at the high pressure sector of the aircraft and stationary turbines. It is an important task to uphold low thermal conductivity in TBCs during long-term service at elevated temperatures. One of the most promising methods to fulfil this task is to optimize the properties of PYSZ-based ,TBC by tailoring its microstructure. Thermal conductivity of the EB-PVD produced PYSZ TBCs is influenced mainly by the size, shape, orientation and volume of the various types of porosity present in the coatings. These pores can be classified as open (inter-columnar and between feather arms gaps) and closed (intra-columnar pores). Since such pores are located within the three-dimensionally deposited columns and enclose large differences in their sizes, shapes, distribution and anisotropy, the accessibility for their characterization is very complex and requires the use of sophisticated methods. In this work, three different EB-PVD TBC microstructures were manufactured by varying the process parameters, yielding various characteristics of their pores. The corresponding thermal conductivities in as-coated state and after ageing at 1100C/1h and 100h were measured via Laser Flash Analysis Method (LFA). The pore characteristics and their individual effect on the thermal conductivity are analysed by USAXS which is supported by subsequent modelling and LFA methods, respectively. Evident differences in the thermal conductivity values of each microstructure were found in as-coated and aged conditions. In summary, broader columns introduce higher values in thermal conductivity. In general, thermal conductivity increases after ageing for all three investigated microstructures, although those with initial smaller pore surface area show smaller changes.

  4. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  5. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  6. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  7. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  8. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  9. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  10. Optical coating preparation

    International Nuclear Information System (INIS)

    Belleville, P.; Sabary, F.; Marcel, C.

    2003-01-01

    In order to optimize the properties of optical components, thin film deposition with controlled thickness and refractive index is often needed. Two different deposition techniques are proposed in this article and illustrated with examples: physical vapor deposition (PVD) and liquid sol-gel process (LSG). PVD and LSG techniques are equivalent as far as the following topics are concerned: elaboration of oxide or composite coated material, optical performance, mechanical performance, and laser performance. PVD is better for the elaboration of metallic films, the design of multi-layers or complex pile-up of layers. LSG is better for the treatment of large surfaces, for substrates with complicated shapes and for its low cost. PVD technique has been widely used so it benefited from an industrial maturity and a clean technology concerning wastes and effluents. On the contrary LSG is a new technique not yet widely used in industrial processes but that looks promising. (A.C.)

  11. Titanium nitride deposition in titanium implant alloys produced by powder metallurgy

    International Nuclear Information System (INIS)

    Henriques, V.A.R.; Cairo, C.A.A.; Faria, J.; Lemos, T.G.; Galvani, E.T.

    2009-01-01

    Titanium nitride (TiN) is an extremely hard material, often used as a coating on titanium alloy, steel, carbide, and aluminum components to improve wear resistance. Electron Beam Physical Vapor Deposition (EB-PVD) is a form of deposition in which a target anode is bombarded with an electron beam given off by a charged tungsten filament under high vacuum, producing a thin film in a substrate. In this work are presented results of TiN deposition in targets and substrates of Ti (C.P.) and Ti- 13 Nb- 13 Zr obtained by powder metallurgy. Samples were produced by mixing of hydride metallic powders followed by uniaxial and cold isostatic pressing with subsequent densification by sintering between 900°C up to 1400 °C, in vacuum. The deposition was carried out under nitrogen atmosphere. Sintered samples were characterized for phase composition, microstructure and microhardness by X-ray diffraction, scanning electron microscopy and Vickers indentation, respectively. It was shown that the samples were sintered to high densities and presented homogeneous microstructure, with ideal characteristics for an adequate deposition and adherence. The film layer presented a continuous structure with 15μm. (author)

  12. Improvement of Wear Performance of Nano-Multilayer PVD Coatings under Dry Hard End Milling Conditions Based on Their Architectural Development

    Directory of Open Access Journals (Sweden)

    Shahereen Chowdhury

    2018-02-01

    Full Text Available The TiAlCrSiYN-based family of PVD (physical vapor deposition hard coatings was specially designed for extreme conditions involving the dry ultra-performance machining of hardened tool steels. However, there is a strong potential for further advances in the wear performance of the coatings through improvements in their architecture. A few different coating architectures (monolayer, multilayer, bi-multilayer, bi-multilayer with increased number of alternating nano-layers were studied in relation to cutting-tool life. Comprehensive characterization of the structure and properties of the coatings has been performed using XRD, SEM, TEM, micro-mechanical studies and tool-life evaluation. The wear performance was then related to the ability of the coating layer to exhibit minimal surface damage under operation, which is directly associated with the various micro-mechanical characteristics (such as hardness, elastic modulus and related characteristics; nano-impact; scratch test-based characteristics. The results presented exhibited that a substantial increase in tool life as well as improvement of the mechanical properties could be achieved through the architectural development of the coatings.

  13. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  14. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  15. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  16. PVD following plasmin but not hyaluronidase: implications for combination pharmacologic vitreolysis therapy.

    Science.gov (United States)

    Wang, Zhi-Liang; Zhang, Xi; Xu, Xun; Sun, Xiao-Dong; Wang, Fang

    2005-01-01

    To study whether intravitreal injection of plasmin + hyaluronidase safely induces posterior vitreous detachment (PVD). Rabbits were randomized into three groups: (A) 20 rabbits, intravitreal injection of plasmin 1 U + hyaluronidase 20 U in balanced salt solution (BSS) 0.1 mL into one eye; (B) 12 rabbits, plasmin alone; (C) 12 rabbits, hyaluronidase alone. The fellow eye of each rabbit was injected BSS 0.1 mL. In Group A, scanning electron microscopy (SEM) was done in four rabbits at 0.5 hour and in four rabbits at 1 hour. After 7 days, all the remaining 36 rabbits received electroretinography, SEM was examined in eight of each group, and immunohistochemistry was done in four of each group. SEM disclosed the eyes of Group A had complete PVD (8/8), Group B partial PVD (7/8), and Group C (8/8) and all the control eyes (24/24) no PVD after 7 days. Partial PVD was found in 4/4 at 0.5 hour and complete PVD was seen in 3/4 at 1 hour in Group A. Immunohistochemistry showed that the amounts of laminin and fibronectin in the vitreoretinal interface were decreased in Group A and B versus the control eyes (P 0.05). Electroretinography showed no changes in any group (P >0.05). Vitreous injection of plasmin + hyaluronidase induced complete PVD with no obvious toxicity. Plasmin induced partial PVD, but hyaluronidase had no effects.

  17. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  18. Microtexture of the thermally grown alumina in commercial thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Karadge, M. [School of Materials, University of Manchester, Grosvenor St., Manchester M1 7HS (United Kingdom); Zhao, X. [School of Materials, University of Manchester, Grosvenor St., Manchester M1 7HS (United Kingdom); Preuss, M. [School of Materials, University of Manchester, Grosvenor St., Manchester M1 7HS (United Kingdom); Xiao, P. [School of Materials, University of Manchester, Grosvenor St., Manchester M1 7HS (United Kingdom)]. E-mail: Ping.Xiao@manchester.ac.uk

    2006-02-15

    otextures of the thermally grown {alpha}-alumina (TGO) in isothermally treated and thermal cycled electron beam physical vapor deposited thermal barrier coatings (EB-PVD-TBC) and isothermally treated air plasma sprayed (APS-TBC) specimens were studied by high resolution electron back-scattered diffraction. The TGO in EB-PVD specimens exhibited a basal microtexture. The TGO in APS specimens, however, did not show any significant microtexture development.

  19. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  20. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  1. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  2. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  3. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  4. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  5. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  6. Adhesion Strength of TiN Coatings at Various Ion Etching Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah; Ali, Nouman

    Titanium nitride (TiN) widely used as hard coating material was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The goal of this study was to examine the effect of ion etching with and without titanium (Ti) and chromium (Cr) on the adhesion strength of TiN coatings deposited on tool steels. From the scratch tester, it was observed that by increasing Ti ion etching showed an increase in adhesion strength of the deposited coatings. The coatings deposited with Cr ion etching showed poor adhesion compared with the coatings deposited with Ti ion etching. Scratch test measurements showed that the coating deposited with titanium ion etching for 16 min is the most stable coating and maintained even at the critical load of 66 N. The curve obtained via penetration depth along the scratch trace is linear in the case of HSS, whereas is slightly flexible in the case of D2 tool steel. The coatings deposited on HSS exhibit better adhesion compared with those on D2 tool steel.

  7. Hybrid diffusive/PVD treatments to improve the tribological resistance of Ti-6Al-4V.

    Science.gov (United States)

    Marin, E; Offoiach, R; Lanzutti, A; Regis, M; Fusi, S; Fedrizzi, L

    2014-01-01

    Titanium alloys are nowadays used for a wide range of biomedical applications thanks to their combination of high mechanical resistance, high corrosion resistance and biocompatibility. Nevertheless, the applicability of titanium alloys is sometimes limited due to their low microhardness and tribological resistance. Thus the titanium alloys cannot be successfully applied to prosthetic joint couplings. A wide range of surface treatments, in particular PVD coatings such as CrN and TiN, have been used in order to improve the tribological behaviour of titanium alloys. However, the low microhardness of the titanium substrate often results in coating failure due to cracks and delamination. For this reason, hybrid technologies based on diffusive treatments and subsequent PVD coatings may improve the overall coating resistance. In this work, conventional PVD coatings of CrN or TiCN, deposited on Titanium Grade 5, were characterized and then combined with a standard thermal diffusive nitriding treatment in order to improve the tribological resistance of the titanium alloys and avoid coating delamination. The different treatments were studied by means of scanning electron microscopy both on the sample surface and in cross-section. In-depth composition profiles were obtained using glow discharge optical emission spectrometry (GDOES) and localized energy dispersive X-ray diffraction on linear scan-lines. The microhardness and adhesion properties of the different treatments were evaluated using Vickers microhardness tests at different load conditions. The indentations were observed by means of SEM in order to evaluate delaminated areas and the crack's shape and density. The tribological behaviour of the different treatments was tested in dry conditions and in solution, in alternate pin-on-flat configuration, with a frequency of 0.5 Hz. After testing, the surface was investigated by means of stylus profilometry and SEM both on the surface and in cross-section. The standalone PVD

  8. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  9. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  10. Ion irradiation-induced stress relaxation in thin films and multilayers deposited using energetic PVD techniques

    International Nuclear Information System (INIS)

    Abadias, Gregory; Michel, Anny; Debelle, Aurelien; Jaouen, Christiane; Djemia Philippe

    2009-01-01

    The aim of the present work is to understand the stress build-up during energetic PVD film growth and the stress relaxation during subsequent ion irradiation at low dose (typically in the range 0.1-1.0 displacement per atom). Monolithic Mo thin films and Mo/Ni multilayers were grown using Dual Ion Beam Sputtering and Magnetron Sputtering at room temperature. Due to the high energy of incoming species (sputtered atoms, backscattered Ar), growth defects of interstitial-type are created during growth. The defect density can reach up to 1.4 % (far from equilibrium) in these Mo refractory layers. These defects act as misfitting particles, inducing a hydrostatic stress component and an associated in-plane compressive stress component. However, after Ar ion irradiation at low dose (∼0.2 dpa), most of the stress is relieved, showing that the growth induced defects are highly unstable. For Ni layers, the compressive stress is much lower due to the higher bulk atom mobility in this metal, making annihilation of defects more effective. An intermixing occurring mainly at the Mo/Ni interfaces is revealed from a complete strain-stress analysis using X-ray Diffraction. The magnitude of this interfacial alloying is found to increase with the energetics of the PVD process and is at the origin of the huge softening of the C 4 4 elastic constant, as measured using Brillouin light scattering. (authors)

  11. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  12. Residual stress in the first wall coating materials of TiC and TiN for fusion reactor

    International Nuclear Information System (INIS)

    Qiu Shaoyu

    1997-01-01

    Residual stresses measurement in the first wall coating of a fusion reactor of TiC and TiN films by X-ray diffraction 'sin 2 ψ methods' were described. The authors have studied on the effect of conditions of specimen preparation (such as coating method, substrate materials, film thickness and deposition temperature) on the residual stress of TiC and TiN films coated onto Mo, 316LSS and Pocographite by chemical vapor deposition (CVD) and physical vapor deposition (PVD) method. All films prepared in this study were found to have a compressive stresses and the CVD method gave lower residual stress than PVD method. TiC film coated on Mo substrate at 1100 degree C by CVD method showed that residual stress as the film thickness was raised from 14 μm to 60 μm, on the other hand, residual stress by PVD method exhibited a high compressive stresses, this kind of stress was principally the intrinsic stress, and a marked decrease in the residual with raising the deposition temperature (200 degree C∼650 degree C) was demonstrated. Origins of the residual stress were discussed by correlation with differences between thermal expansion coefficients, and also with fabrication methods

  13. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  14. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  15. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  16. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  17. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  18. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  19. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  20. Evolution of photo-stimulated luminescence of EB-PVD/(Ni, Pt)Al thermal barrier coatings

    International Nuclear Information System (INIS)

    Wen Mei; Jordan, Eric H.; Gell, Maurice

    2005-01-01

    Experiments are described which were designed to assess the suitability of photo-stimulated luminescence piezo-spectroscopy (PLPS) measurements as a basis for non-destructive inspection (NDI) and determination of life remaining of thermal barrier coatings (TBCs). Thermal cyclic tests were conducted on 7 wt.% Y 2 O 3 stabilized ZrO 2 (YSZ) electron beam physical vapor deposited (EB-PVD)/(Ni, Pt)Al/CMSX-4 TBCs at two temperatures 1151 and 1121 deg. C. The evolution of PLPS spectral characteristics (peak frequency shift, peak width and area ratio of peaks) was studied as a function of thermal cycles. It was observed that the average thermally grown oxide (TGO) stress and its standard deviation, and the area ratio of peaks show systematic change with thermal cycling, indicating that these characteristics can be used for NDI and determination of life remaining. The average TGO stress increases initially and then decreases monotonically with thermal cycling. The rate of change in the stress can be related to specimen life: the shallower the slope, the higher the life. The peak area ratio also decreases monotonically with cycling. The average TGO stress changes in a systematic manner versus remaining life fraction independent of temperature. Remaining life predictions were made based on average stress versus life fraction, which resulted in life assessments within ±13% of actual values excluding one specimen with abnormal behavior

  1. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  2. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  3. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  4. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  5. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  8. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  9. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  10. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  11. Structural characterisation of oxygen diffusion hardened alpha-tantalum PVD-coatings on titanium.

    Science.gov (United States)

    Hertl, C; Koll, L; Schmitz, T; Werner, E; Gbureck, U

    2014-08-01

    Titanium substrates were coated with tantalum layers of 5 μm thickness using physical vapour deposition (PVD). The tantalum layers showed a (110)-preferred orientation. The coated samples were hardened by oxygen diffusion. Using X-ray diffraction the crystallographic structure of the tantalum coatings was characterised, comparing untreated and diffusion hardened specimen conditions. Oxygen depth profiles were determined by glow discharge spectrometry. The hardening effect of the heat treatment was examined by Vickers microhardness testing. The increase of surface hardness caused by oxygen diffusion was at least 50%. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  13. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  14. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  15. Characterization of Beryllium Windows for Coherent X-ray Optics

    International Nuclear Information System (INIS)

    Goto, Shunji; Yabashi, Makina; Tamasaku, Kenji; Ishikawa, Tetsuya

    2007-01-01

    Beryllium foils fabricated by several processes were characterized using spatially coherent x rays at 1-km beamline of SPring-8. By thickness dependence of bright x-ray spot density due to Fresnel diffraction from several-micron deficiencies, we found that speckles (bright x-ray spots) were due to voids with densities 103-104 mm-3 in powder foils and ingot foils. Compared with powder and ingot foils, a polished physical-vapor-deposited (PVD) beryllium foil gave highly uniform beams with no speckles. The PVD process eliminates the internal voids in principle and the PVD foil is the best for coherent x-ray applications

  16. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  17. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  18. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  20. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  1. Zirconium nitride hard coatings

    International Nuclear Information System (INIS)

    Roman, Daiane; Amorim, Cintia Lugnani Gomes de; Soares, Gabriel Vieira; Figueroa, Carlos Alejandro; Baumvol, Israel Jacob Rabin; Basso, Rodrigo Leonardo de Oliveira

    2010-01-01

    Zirconium nitride (ZrN) nanometric films were deposited onto different substrates, in order to study the surface crystalline microstructure and also to investigate the electrochemical behavior to obtain a better composition that minimizes corrosion reactions. The coatings were produced by physical vapor deposition (PVD). The influence of the nitrogen partial pressure, deposition time and temperature over the surface properties was studied. Rutherford backscattering spectrometry (RBS), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), scanning electron microscopy (SEM) and corrosion experiments were performed to characterize the ZrN hard coatings. The ZrN films properties and microstructure changes according to the deposition parameters. The corrosion resistance increases with temperature used in the films deposition. Corrosion tests show that ZrN coating deposited by PVD onto titanium substrate can improve the corrosion resistance. (author)

  2. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  3. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  4. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  5. Development of biosensors for non-invasive measurements of heart failure biomarkers in saliva

    Science.gov (United States)

    Alcacer, Albert; Streklas, Angelos; Baraket, Abdoullatif; Zine, Nadia; Errachid, Abdelhamid; Bausells, Joan

    2017-06-01

    Biomedical engineering research today is focused on non-invasive techniques for detection of biomarkers related to specific health issues 1. Three metal layer microelectrode (μE) sensors have been implemented to detect specific biomarkers which can be found in human saliva related with heart failure problems 2 such as interleukin and Tumore Necrosis Factor-α (TNF-α), and used as highly sensitive saliva sensors. We designed specialized μEs combining different technologies for multiple measurements aiming to a lab-on-a-chip future integration. Measurements are based to basic principles of Cyclic Voltammetry (CV) and Electrochemical Impedance Spectroscopy (EIS). Thus, certain planar technology was used involving three metal layers of gold, platinum and silver deposited over an oxidized silicon substrate following standard cleanroom procedures of lithography for the definition of μEs, sputtering physical vapor deposition (PVD) for gold, evaporation PVD for silver and platinum, and plasma enhanced chemical vapor deposition (PECVD) for passivation layer of silicon nitride.

  6. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  7. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  8. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  9. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  10. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  11. Novel thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/8YSZ double-ceramic-layer systems deposited by electron beam physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua, E-mail: zhxuciac@yahoo.com.cn [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Shimei; He Limin; Mu Rende; Huang Guanghong [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao Xueqiang [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2011-03-17

    Research highlights: > LZ7C3 and YSZ have good chemical compatibility for the formation of DCL coating. > DCL coating has a longer lifetime than that of single layer coating of LZ7C3 or YSZ. > Similar TECs of LZ7C3 with YSZ coatings and YSZ coating with TGO layer. > Unique growth modes of columns within DCL coating. > Outward diffusion of Cr element (bond coat) into LZ7C3 layer. - Abstract: Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and yttria stabilized zirconia (YSZ) were deposited by electron beam-physical vapor deposition (EB-PVD). The thermal cycling test at 1373 K in an air furnace indicates the DCL coating has a much longer lifetime than the single layer LZ7C3 coating, and even longer than that of the single layer YSZ coating. The superior sintering-resistance of LZ7C3 coating, the similar thermal expansion behaviors of YSZ interlayer with LZ7C3 coating and thermally grown oxide (TGO) layer, and the unique growth modes of columns within DCL coating are all very helpful to the prolongation of thermal cycling life of DCL coating. The failure of DCL coating is mainly a result of the reduction-oxidation of cerium oxide, the crack initiation, propagation and extension, the abnormal oxidation of bond coat, the degradation of t'-phase in YSZ coating and the outward diffusion of Cr alloying element into LZ7C3 coating.

  12. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  13. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  14. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  15. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  16. Metal nitride coatings by physical vapor deposition (PVD) for a wear resistant aluminum extrusion die.

    Science.gov (United States)

    Lee, Su Young; Kim, Sang Ho

    2014-12-01

    The purpose of this study is to investigate the friction and wear behaviors of CrN, TiN, CrAlN, and TiAIN coated onto SKD61 for application to Al 7000 series extrusion dies. On the wear test, the experimental parameters are the load and the counter material's temperature. The results showed that the friction coefficient increased with load but decreased with the counter material's temperature, and the friction coefficients of CrN and CrAIN were lower than the friction coefficients of TiAIN and TIN, especially at a higher temperature. The wear track with different coatings identified different wear behaviors; the wear behavior of CrAIN was found to be abrasive, but the wear behavior of TiN, CrN, and TiAIN was adhesive. Therefore, CrAIN showed the least wear loss with a lower friction coefficient and less adhesion with counter materials at the highest range of wear load and temperature. This resulted in the easy formation of aluminum oxide in the wear track and less Al adhesion; moreover during the hard second phase, AIN dispersed in the film during deposition.

  17. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  18. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  19. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  20. Synthesis and electrochemical properties of composite galvanic Ni with carbon nanomaterials and PVD Mo coatings

    International Nuclear Information System (INIS)

    Drozdovich, V.B.; Chayeuski, V.V.; Zhdanok, S.A.; Barkovskaya, M.M.

    2011-01-01

    Double layer coatings Ni – Mo were obtained by electrolytic deposition of galvanic Ni and following arc PVD deposition of molybdenum. The ion plating coatings Mo on Ni foil and composition electrolytic Ni coatings with carbon nanomaterials (CNM) deposited on mild steel has been also investigated. Composite galvanic Ni coatings with CNM and ion plating coatings Mo contain separately obtained cubic α-Mo phase as well as fragmentary solid solution Mo in Ni. Such coatings exclude hydrogenation of Ni foundation in alkaline solution and possess enlarged electrocatalytic properties while emitting hydrogen and oxygen. Availability of carbon based nanomaterials in combined coatings is cause of an active absorption hydrogen after cathodic polarization. A formation on the surface layer of nanostructure solid solution (Ni, Mo) after compression plasma flows treatment with fixed parameters of patterns Mo/Ni/ mild steel take place. (authors)

  1. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  2. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  3. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  4. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  5. Production of AlN films: ion nitriding versus PVD coating

    International Nuclear Information System (INIS)

    Figueroa, U.; Salas, O.; Oseguera, J.

    2004-01-01

    The properties of AlN render this material very attractive for optical, electronic, and tribological applications; thus, a great interest exists for the production of thin AlN films on a variety of substrates. Many methods have been developed for this purpose where two processes stand out: plasma-assisted nitriding (PAN) and PVD coating. In the present paper, we compare the processing advantages and disadvantages of both methods in terms of the characteristics of the layers formed. AlN production by ion nitriding is very sensitive to presputtering cleaning and working pressure. Layers several micrometers thick can be produced in a few hours, which are formed by a fine mixture of Al+AlN. The surface morphology of the layers is rather rough. On the other hand, formation of PVD AlN coatings by DC reactive magnetron sputtering is more readily performed and better controlled than in ion nitriding. PVD results in macroscopically smoother AlN films and with similar thickness than the ion nitrided layers but produced in shorter processing times. The morphology of the PVD AlN layers is columnar with a fairly flat surface. Mechanisms for the formation of both types of AlN layers are proposed. One of the main differences between the two processes that explain the different AlN layer morphologies is the energy of the particles that arrive at the substrate. Considering only the processing advantages and the morphology of the AlN layers formed, PVD performs better than PAN processing

  6. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  7. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  8. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  9. Enhancing the oxidation resistance of graphite by applying an SiC coat with crack healing at an elevated temperature

    Science.gov (United States)

    Park, Jae-Won; Kim, Eung-Seon; Kim, Jae-Un; Kim, Yootaek; Windes, William E.

    2016-08-01

    The potential of reducing the oxidation of the supporting graphite components during normal and/or accident conditions in the Very High Temperature Reactor (VHTR) design has been studied. In this work efforts have been made to slow the oxidation process of the graphite with a thin SiC coating (∼ 10 μm). Upon heating at ≥ 1173 K in air, the spallations and cracks were formed in the dense columnar structured SiC coating layer grown on the graphite with a functionally gradient electron beam physical vapor deposition (EB-PVD. In accordance with the formations of these defects, the sample was vigorously oxidized, leaving only the SiC coating layer. Then, efforts were made to heal the surface defects using additional EB-PVD with ion beam bombardment and chemical vapor deposition (CVD). The EB-PVD did not effectively heal the cracks. But, the CVD was more appropriate for crack healing, likely due to its excellent crack line filling capability with a high density and high aspect ratio. It took ∼ 34 min for the 20% weight loss of the CVD crack healed sample in the oxidation test with annealing at 1173 K, while it took ∼ 8 min for the EB-PVD coated sample, which means it took ∼4 times longer at 1173 K for the same weight reduction in this experimental set-up.

  10. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  11. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  12. Prevalence of early and late stages of physiologic PVD in emmetropic elderly population.

    Science.gov (United States)

    Schwab, Christoph; Ivastinovic, Domagoj; Borkenstein, Andreas; Lackner, Eva-Maria; Wedrich, Andreas; Velikay-Parel, Michaela

    2012-05-01

    To investigate the early and late stages of posterior vitreous detachment (PVD) in the foveal area in correlation with age and gender. Three hundred and thirty-five emmetropic eyes of 271 Caucasian patients (216 women/119 men) were examined by optical coherence tomography (OCT) and ultrasound (US). Eyes were classified into groups according to the patients age (up to 69.9; 70-74.9; 75-79.9; over 80 years) and to the clinical findings [Vitreous state: Detached in US; Detached in OCT; Foveal adhesion (FA); Attached vitreous]. The mean age was 76 ± 8 ranging from 44 to 89 years in female and 72 ± 10 ranging from 46 to 87 years in male subjects. The vitreous was attached in 32% of all eyes, 18.5% had FA, 18.5% were detached in OCT and 68% were detached in US. While prevalence of FA decreases with increasing age, OCT-diagnosed detachments did not change significantly with age. Between the ages of 70 and 75, an increase in PVD rates occurred. The prevalence of PVD was similar in both genders. Women were significantly older than men in the late-stage PVD in the eyes. The use of OCT and US enabled us to detect a partial or total PVD in 80% of the eyes. A sudden increase in late-stage PVD between the ages of 70 and 75 was observed, correlating with the reported age prevalence of various macular diseases. In contrast to myopics, both genders of elderly emmetropics have a similar prevalence of PVD. © 2011 The Authors. Acta Ophthalmologica © 2011 Acta Ophthalmologica Scandinavica Foundation.

  13. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  14. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  15. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  16. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  17. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  18. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  19. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  20. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  1. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  2. Development and characterization of nano structured hard coatings for high performance tools by using PVD technique

    International Nuclear Information System (INIS)

    Irfan, M.; Alam, S.; Hassan, Z.; Iftikhar, F.; Khadim, S.

    2006-01-01

    No doubt hard coatings nave major applications in high performance cutting tools in order to improve tribological and mechanical properties of these tools since last years. The actual top development in this regard is the development of PVD based AlTiN coatings and their supplementation with nano. layers. In present these nano coatings are replaced by nano composites along with an additional development of Multilayer Nano structured coatings. This PVD based nano structured coating development optimized by process parameters, crystalline structure and deposition in multilayer. These coating are definitely produced by combination of ARC and Sputtering with filtration of arc droplets. It is studied that the properties like oxidation resistance, wear resistance and resistance against chemical reaction may be obtained by alloying additions of different elements. This paper presents different development stages and Process parameters for- producing high performance Nanostructure coatings and including adhesion test by using Kalomax system for determination of adhesion strength of these coatings and coating thickness measurements by using image analyzer system. Results and conclusions are showing the optimum values for better coatings for different applications. (author)

  3. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  4. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  5. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    Science.gov (United States)

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  6. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  8. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  9. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  10. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  11. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  12. Effects of ion implantation on the microstructure and residual stress of filter arc CrN films

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Han Sheng; Hsu, C.-S.; Chen, Y.-L.; Wang, D.-Y.

    2008-01-01

    Chromium nitride coatings were deposited using a hybrid physical vapor deposition (PVD) system containing a filter arc deposition (FAD) and a metal plasma ion implantation source (MPII). Exactly how surface residual stress affects film characteristics is investigated using glancing incident X-ray diffraction (GIXRD) and pole figure analyses. Compared with unimplanted CrN, implanted carbon typically increases compressive residual stress and hardness. Wear resistance was also improved by implanted carbon

  13. Discussion on numerical simulation techniques for patterns of water vapor rise and droplet deposition at NPP cooling tower

    International Nuclear Information System (INIS)

    Guo Dongpeng; Yao Rentai

    2010-01-01

    Based on the working principle of cooling tower, analysis and comparison are made of both advantages and disadvantages of the numerical simulation models, such as ORFAD, KUMULUS, ISCST:A, ANL/UI, CFD etc., which predict the rise and droplet deposition pattern of cooling tower water vapor. The results showed that, CFD model is currently a better model that is used of three-dimensional Renault fluid flow equations predicting the rise and droplet deposition pattern of cooling tower water vapor. The impact of the line trajectory deviation and the speed change inn plume rising is not considered in any other models, and they can not be used for prediction of particle rise and droplet deposition when a larger particle or large buildings in the direction of cooling tower. (authors)

  14. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  15. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  16. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  17. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  18. The limits of application of variable-energy slow positron beams for investigating TiN hard coatings prepared by PVD

    International Nuclear Information System (INIS)

    Marek, T.; Suevegh, K.; Vertes, A.; Szeles, Cs.; Lynn, K.G.

    2000-01-01

    Samples of TiN hard coatings prepared by physical vapour deposition (PVD) were investigated by means of depth-sensitive positron annihilation spectroscopy. The results indicate that the samples are at the limits of the applicability of this method presumably due to the high defect concentration. Though the samples are thoroughly characterized by other independent methods, they might not be sufficient to explain all aspects of positron-solid interactions in these cases. (author)

  19. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  20. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  1. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  2. Adaptive PVD Steganography Using Horizontal, Vertical, and Diagonal Edges in Six-Pixel Blocks

    Directory of Open Access Journals (Sweden)

    Anita Pradhan

    2017-01-01

    Full Text Available The traditional pixel value differencing (PVD steganographical schemes are easily detected by pixel difference histogram (PDH analysis. This problem could be addressed by adding two tricks: (i utilizing horizontal, vertical, and diagonal edges and (ii using adaptive quantization ranges. This paper presents an adaptive PVD technique using 6-pixel blocks. There are two variants. The proposed adaptive PVD for 2×3-pixel blocks is known as variant 1, and the proposed adaptive PVD for 3×2-pixel blocks is known as variant 2. For every block in variant 1, the four corner pixels are used to hide data bits using the middle column pixels for detecting the horizontal and diagonal edges. Similarly, for every block in variant 2, the four corner pixels are used to hide data bits using the middle row pixels for detecting the vertical and diagonal edges. The quantization ranges are adaptive and are calculated using the correlation of the two middle column/row pixels with the four corner pixels. The technique performs better as compared to the existing adaptive PVD techniques by possessing higher hiding capacity and lesser distortion. Furthermore, it has been proven that the PDH steganalysis and RS steganalysis cannot detect this proposed technique.

  3. Methods to improve the PVD coatability of brass by using diffusion barriers

    Science.gov (United States)

    Langer, Bernd

    Previous work involving PVD coatings on brass has used a combination of multilayers consisting of electroplated films like nickel or chromium and deposited decorative PVD coatings like TiN, TiAIN or ZrN systems. The disadvantages of these systems are the combination of wet electrochemistry and high tech vacuum processes. Furthermore the allergic reaction to nickel and the toxic nature of Cr(VI) must be considered.There is a need for intermediate layers to 'seal-off the brass in order to avoid the evaporation of zinc in vacuum using a diffusion barrier. Furthermore the intermediate layers are required to act as a corrosion barrier.This thesis reports on the development of PVD coatings on heat sensitive brass substrate materials utilising ABS technology with Al, CuAl8 and Nb targets as vapour sources.The brass pretreatment includes careful grinding, polishing and cleaning steps as well as steered arc metal ion etching using the above target materials. The coatings are produced at temperatures between 100 and 250°C in the unbalanced magnetron mode, including layers made from Al, Al-Nb, CuA18, CuAl8-Nb and Nb.Scratch adhesion and Rockwell indentation tests are found not to be directly applicable to the system of soft brass and ductile coating(s). Therefore a new classification for both scratch and indentation tests was defined. The best adhesion was shown by the CuA18 coatings on brass. Corrosion tests showed good results for the Al coatings and poor results for the pure Nb coatings directly applied on brass. The best corrosion result was obtained with a CuAl8-Nb layer system. This layer system also offers very good barrier behaviour concerning Zn diffusion.Other investigations like Glow Discharge Optical Emission Spectroscopy (GDOES), Scanning Electron Microscopy (SEM) imaging, Transmission Electron Microscopy (TEM) and X-ray Diffraction (XRD) were undertaken to characterise the new coating systems for brass.

  4. Hard coatings on magnesium alloys by sputter deposition using a pulsed d.c. bias voltage

    Energy Technology Data Exchange (ETDEWEB)

    Reiners, G. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Griepentrog, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany)

    1995-12-01

    An increasing use of magnesium-based light-metal alloys for various industrial applications was predicted in different technological studies. Companies in different branches have developed machine parts made of magnesium alloys (e.g. cars, car engines, sewing and knitting machines). Hence, this work was started to evaluate the ability of hard coatings obtained by physical vapour deposition (PVD) in combination with coatings obtained by electrochemical deposition to protect magnesium alloys against wear and corrosion. TiN hard coatings were deposited onto magnesium alloys by unbalanced magnetron sputter deposition. A bipolar pulsed d.c. bias voltage was used to limit substrate temperatures to 180 C during deposition without considerable loss of microhardness and adhesion. Adhesion, hardness and load-carrying capacity of TiN coatings deposited directly onto magnesium alloys are compared with the corresponding values of TiN coatings deposited onto substrates which had been coated electroless with an Ni-P alloy interlayer prior to the PVD. (orig.)

  5. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  6. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  7. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  8. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  9. Nanostructured MgTiO{sub 3} thick films obtained by electrophoretic deposition from nanopowders prepared by solar PVD

    Energy Technology Data Exchange (ETDEWEB)

    Apostol, Irina [S.C. IPEE Amiral Trading Impex S.A., 115300 Curtea de Arges (Romania); Mahajan, Amit [Department of Materials and Ceramics Engineering, Centre for Research in Ceramics and Composite Materials, CICECO, University of Aveiro, 3810-093 Aveiro (Portugal); Monty, Claude J.A. [CNRS-PROMES Laboratory, 66120 Font Romeu Odeillo (France); Venkata Saravanan, K., E-mail: venketvs@cutn.ac.in [Department of Materials and Ceramics Engineering, Centre for Research in Ceramics and Composite Materials, CICECO, University of Aveiro, 3810-093 Aveiro (Portugal); Department of Physics, School of Basic and Applied Science, Central University of Tamil Nadu, Thiruvarur 61010 (India)

    2015-12-15

    Highlights: • Obtaining nano-crystalline magnesium titanium oxide powders by solar physical vapor deposition (SPVD) process. And using these nano-powders to obtain thick films on conducting substrates by electrophoretic deposition (EPD). • SPVD is a core innovative, original and environmentally friendly process to prepare nano-materials in a powder form. • Sintered thick films exhibited dielectric constant, ε{sub r} ∼18.3 and dielectric loss, tan δ ∼0.0012 at 1 MHz, which is comparable to the values reported earlier. • New contributions to the pool of information on the preparation of nano-structured MgTiO{sub 3} thick films at low temperatures. • A considerable decrease in synthesis temperature of pure MgTiO{sub 3} thick film was observed by the combination of SPVD and EPD. - Abstract: A novel combination of solar physical vapor deposition (SPVD) and electrophoretic deposition (EPD) that was developed to grow MgTiO{sub 3} nanostructured thick films is presented. Obtaining nanostructured MgTiO{sub 3} thick films, which can replace bulk ceramic components, a major trend in electronic industry, is the main objective of this work. The advantage of SPVD is direct synthesis of nanopowders, while EPD is simple, fast and inexpensive technique for preparing thick films. SPVD technique was developed at CNRS-PROMES Laboratory, Odeillo-Font Romeu, France, while the EPD was performed at University of Aveiro – DeMAC/CICECO, Portugal. The nanopowders with an average crystallite size of about 30 nm prepared by SPVD were dispersed in 50 ml of acetone in basic media with addition of triethanolamine. The obtained well-dispersed and stable suspensions were used for carrying out EPD on 25 μm thick platinum foils. After deposition, films with thickness of about 22–25 μm were sintered in air for 15 min at 800, 900 and 1000 °C. The structural and microstructural characterization of the sintered thick films was carried out using XRD and SEM, respectively. The

  10. The Effect of Annealing on the Structural and Optical Properties of Titanium Dioxide Films Deposited by Electron Beam Assisted PVD

    Directory of Open Access Journals (Sweden)

    Yaser M. Abdulraheem

    2013-01-01

    Full Text Available Titanium dioxide thin films were deposited on crystalline silicon substrates by electron beam physical vapor deposition. The deposition was performed under vacuum ranging from 10−5 to 10−6 Torr without process gases, resulting in homogeneous TiO2-x layers with a thickness of around 100 nm. Samples were then annealed at high temperatures ranging from 500°C to 800°C for 4 hours under nitrogen, and their structural and optical properties along with their chemical structure were characterized before and after annealing. The chemical and structural characterization revealed a substoichiometric TiO2-x film with oxygen vacancies, voids, and an interface oxide layer. It was found from X-ray diffraction that the deposited films were amorphous and crystallization to anatase phase occurred for annealed samples and was more pronounced for annealing temperatures above 700°C. The refractive index obtained through spectroscopic ellipsometry ranged between 2.09 and 2.37 in the wavelength range, 900 nm to 400 nm for the as-deposited sample, and jumped to the range between 2.23 and 2.65 for samples annealed at 800°C. The minimum surface reflectance changed from around 0.6% for the as-deposited samples to 2.5% for the samples annealed at 800°C.

  11. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  12. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  13. Characteristics of CrAlSiN + DLC coating deposited by lateral rotating cathode arc PVD and PACVD process

    Energy Technology Data Exchange (ETDEWEB)

    Lukaszkowicz, Krzysztof, E-mail: krzysztof.lukaszkowicz@polsl.pl [Institute of Engineering Materials and Biomaterials, Silesian University of Technology, Konarskiego St. 18A, 44-100 Gliwice (Poland); Sondor, Jozef, E-mail: j.sondor@liss.cz [LISS, a.s., Dopravni 2603, 756 61 Roznov p.R. (Czech Republic); Balin, Katarzyna, E-mail: katarzyna.balin@us.edu.pl [A. Chełkowski Institute of Physic, University of Silesia, Uniwersytecka 4, 40-007 Katowice (Poland); Kubacki, Jerzy, E-mail: jerzy.kubacki@us.edu.pl [A. Chełkowski Institute of Physic, University of Silesia, Uniwersytecka 4, 40-007 Katowice (Poland)

    2014-09-01

    Highlights: • The chemical composition of the CrAlSiN + DLC coatings was studied. • The coatings have nanostructural character with fine crystallites. • Their average size grain is less than 10 nm. • The coatings demonstrate friction coefficient within the range 0.05–0.07. • The coating demonstrated a dense cross-sectional morphology as well as good adhesion to the substrate. - Abstract: Coating system composed of CrAlSiN film covered by diamond-like carbon (DLC)-based lubricant, deposited on hot work tool steel substrate was the subject of the research. The CrAlSiN and DLC layers were deposited by PVD lateral rotating ARC-cathodes (LARC) and PACVD technology on the X40CrMoV5-1 respectively. HRTEM investigation shows an amorphous character of DLC layer. It was found that the tested CrAlSiN layer has a nanostructural character with fine crystallites while their average size is less than 10 nm. Based on the XRD pattern of the CrAlSiN, the occurrence of fcc phase was only observed in the coating, the texture direction 〈3 1 1〉 is perpendicular to the sample surface. Combined SEM, AES and ToF-SIMS studies confirmed assumed chemical composition and layered structure of the coating. The chemical distribution of the elements inside the layers and at the interfaces was analyzed by SEM and AES methods. It was shown that additional CrN layer is present between substrate and CrAlSiN coating. The atomic concentration of the particular elements of DLC and CrAlSiN layer was calculated from the XPS measurements. In sliding dry friction conditions the friction coefficient for the investigated elements is set in the range between 0.05 and 0.07. The investigated coating reveals high wear resistance. The coating demonstrated a dense cross-sectional morphology as well as good adhesion to the substrate.

  14. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    Directory of Open Access Journals (Sweden)

    Shuo-Wei Chen

    2016-04-01

    Full Text Available The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs with ex-situ sputtered physical vapor deposition (PVD aluminum nitride (AlN nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study shows the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.

  15. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  16. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  17. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  18. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  19. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  20. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  1. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  2. Effects of CdCl2 treatment on the recrystallization and electro-optical properties of CdTe thin films

    International Nuclear Information System (INIS)

    Moutinho, H.R.; Al-Jassim, M.M.; Levi, D.H.; Dippo, P.C.; Kazmerski, L.L.

    1998-01-01

    The effects of CdCl 2 processing on the physical and electro-optical properties of CdTe were evaluated for thin films produced by physical vapor deposition and close-spaced sublimation (CSS). Two substrates (CdS and Indium - tin - oxide) were used with the physical vapor deposition (PVD) films specifically to isolate the effects of the Cd(S x Te 1-x ) alloy formed during the treatment of films deposited on CdS. The samples were analyzed by x-ray diffraction (XRD), atomic force microscopy (AFM), and photoluminescence. The observed changes in microstructure were caused by recrystallization, which consisted of the nucleation and development of a new CdTe structure and subsequent grain growth. Nevertheless, for these processes to take place, it was necessary that enough lattice-strain energy was available in the films. For this reason, PVD films did recrystallize, while CSS films did not. For the first time, recrystallization was observed directly in AFM images of CdTe films and confirmed by XRD analysis, which indicated the existence of two lattice parameters in PVD samples treated at 350 degree C. For samples treated at 400 degree C, the CdCl 2 treatment improved the minority-carrier lifetime of the films by more than one order of magnitude. This improvement was attributed to the elimination of deep defect levels within the band gap of the CdTe films as a result of the treatment. The sulfur diffusion into CdTe films deposited on CdS, during the CdCl 2 treatment at 400 degree C, strongly affected the defect structure

  3. Synthesis and electrochemical properties of Ti-doped DLC films by a hybrid PVD/PECVD process

    Science.gov (United States)

    Jo, Yeong Ju; Zhang, Teng Fei; Son, Myoung Jun; Kim, Kwang Ho

    2018-03-01

    Low electrical conductivity and poor adhesion to metallic substrates are the main drawbacks of diamond-like carbon (DLC) films when used in electrode applications. In this study, Ti-doped DLC films with various Ti contents were synthesized on metal Ti substrates by a hybrid PVD/PECVD process, where PECVD was used for deposition of DLC films and PVD was used for Ti doping. The effects of the Ti doping ratio on the microstructure, adhesion strength, and electrical and electrochemical properties of the DLC films were systematically investigated. An increase in the Ti content led to increased surface roughness and a higher sp2/sp3 ratio of the Ti-DLC films. Ti atoms existed as amorphous-phase Ti carbide when the Ti doping ratio was less than 2.8 at.%, while the nanocrystalline TiC phase was formed in DLC films when the Ti doping ratio was exceeded 4.0 at.%. The adhesion strength, electrical resistivity, electrochemical activity and reversibility of the DLC films were greatly improved by Ti doping. The influence of Ti doping ratio on the electrical and electrochemical properties of the DLC films were also investigated and the best performance was obtained at a Ti content of 2.8 at.%.

  4. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  5. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  7. Comparison of effective relative dielectric permittivities obtained by three independent ways for CeO2-Sm2O3 films prepared by EB-PVD (+IBAD) techniques

    International Nuclear Information System (INIS)

    Kundracik, F.; Neilinger, P.; Hartmanova, M.; Nadazdy, V.; Mansilla, C.

    2011-01-01

    Ceria, as material with relatively high dielectric permittivity, ε r , and ability to form films on the Si substrate, is a candidate for the gate dielectrics in the MOS devices. Doping with suitable e.g. trivalent rare earth oxides and suitable treatment after deposition (preparation) can improve their properties, e.g. ionic conductivity, dielectric permittivity and mechanical hardness. In this work, the dielectric properties of CeO 2 + Sm 2 O 3 films prepared by electron beam physical vapour deposition (EB-PVD) and some of them simultaneously also by the Ar + ionic beam assisted deposition (IBAD) techniques are analysed. (authors)

  8. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  9. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  10. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  11. Effect of La2O3 addition on interface chemistry between 4YSZ top layer and Ni based alloy bond coat in thermal barrier coating by EB PVD.

    Science.gov (United States)

    Park, Chan-Young; Yang, Young-Hwan; Kim, Seong-Won; Lee, Sung-Min; Kim, Hyung-Tae; Jang, Byung-Koog; Lim, Dae-Soon; Oh, Yoon-Suk

    2014-11-01

    The effect of a 5 mol% La2O3 addition on the forming behavior and compositional variation at interface between a 4 mol% Yttria (Y2O3) stabilized ZrO2 (4YSZ) top coat and bond coat (NiCrAlY) as a thermal barrier coating (TBC) has been investigated. Top coats were deposited by electron beam physical vapor deposition (EB PVD) onto a super alloy (Ni-Cr-Co-Al) substrate without pre-oxidation of the bond coat. Top coats are found to consist of dense columnar grains with a thin interdiffusion layer between metallic bond coats. In the as-received 4YSZ coating, a thin interdiffusion zone at the interface between the top and bond coats was found to consist of a Ni-Zr intermetallic compound with a reduced quantity of Y, Al or O elements. On the other hand, in the case of an interdiffusion area of 5 mol% La2O3-added 4YSZ coating, it was found that the complicated composition and structure with La-added YSZ and Ni-Al rich compounds separately. The thermal conductivity of 5 mol% La2O3-added 4YSZ coating (- 1.6 W/m x k at 1100 degrees C) was lower than a 4YSZ coating (- 3.2 W/m x k at 1100 degrees C) alone.

  12. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    Science.gov (United States)

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  13. Pain, psychological distress and motor pattern in women with provoked vestibulodynia (PVD) - symptom characteristics and therapy suggestions.

    Science.gov (United States)

    Haugstad, Gro Killi; Wojniusz, Slawomir; Kirste, Unni Merete; Kirschner, Rolf Steinar; Lilleheie, Ingvild; Haugstad, Tor Sigbjørn

    2018-04-25

    Provoked vestibulodynia (PVD) represent a longstanding pain syndrome that affects large numbers of women worldwide. However, no standardized guidelines for PVD treatment exist. In a cross-sectional pilot study we examined 30 PVD patients on multidimensional parameters including pain, psychological distress and quality of movement, in order to obtain a broader understanding of the somatic and psychological symptoms in PVD, and for the future to develop better interventions. Additionally, we compare the findings to previously published results regarding the same parameters in women with chronic pelvic pain (CPP). Thirty women with PVD recruited from a tertiary care university clinic of gynecology were assessed for demographic data, pain intensity (VAS), psychological distress (GHQ-30 and Tampa scale of Kinesophobia) and quality of movement (standardized Mensendieck test, SMT). Average age of the PVD women was 24.7±3.60 years, 60% of them were in permanent relationships, all were nulliparous, none had been subjected to surgical procedures, 100% were working full or part time and 90% were educated to at least undergraduate level. Mean VAS score was 7.77±1.97 (mean±SD), kinesiophobia 24.4±3.95 and anxiety domain of GHQ-30 9.73±4.06. SMT scores were particularly low for the domains of respiration and gait (less than 50% of optimal scores). PVD women display reduced quality of movement, especially for gait and respiration patterns, increased level of anxiety and high average pain scores. These findings are similar to what we have previously reported in CPP patients. However, in contrast to CPP group, PVD women are on average younger, have higher work participation, higher education level and have not been subjected to surgical procedures. Since PVD women display similar, although somewhat less severe, symptom profile than CPP, we suggest that a multidimensional approach to treatment, such as "somatocognitive therapy" should be investigated in this group as it has

  14. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    was analyzed and improvements implemented to the Veeco PVD-AlN prototype system to establish a specification and baseline PVD-AlN films on sapphire and in parallel the evaluation of PVD AlN on silicon substrates began. In Phase II of the project a Beta tool based on a scaled-up process module capable of depositing uniform films on batches of 4”or 6” diameter substrates in a production worthy operation was developed and qualified. In Phase III, the means to increase the throughput of the PVD-AlN system was evaluated and focused primarily on minimizing the impact of the substrate heating and cooling times that dominated the overall cycle time.

  15. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  16. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  17. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  18. Anisotropic TGO rumpling in EB-PVD thermal barrier coatings under in-phase thermomechanical loading

    Energy Technology Data Exchange (ETDEWEB)

    Balint, D.S., E-mail: d.balint@imperial.ac.uk [Department of Mechanical Engineering, Imperial College London, London SW7 2AZ (United Kingdom); Kim, S.-S.; Liu Yufu; Kitazawa, R.; Kagawa, Y. [Research Center for Advanced Science and Technology, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo 153-8409 (Japan); Evans, A.G. [College of Engineering, University of California, Santa Barbara, CA 93106 (United States)

    2011-04-15

    An electron beam physical vapor deposited (EB-PVD) Y{sub 2}O{sub 3}-ZrO{sub 2} thermal barrier system has been tested under in-phase thermomechanical fatigue (TMF) conditions with thermal gradient in the through-thickness direction. Undulations in the thermally grown oxide (TGO) were observed to have clear anisotropic behavior with respect to the directions parallel and perpendicular to the loading axis. It was found that undulation wavelengths were nearly the same in both directions but the amplitude in the perpendicular direction was much larger than in the parallel direction. A recent model of TGO rumpling was adapted and used to analyze and explain the origins of the observed rumpling behavior under TMF conditions. Methods for deducing variation in the coefficient of thermal expansion with temperature and in the creep properties of the substrate from the experimental strain data are also presented in the course of the derivations. Model results show that tensile stress applied in the loading direction can overcome the compression occurring from lateral expansion during oxide formation, causing undulations to flatten; undulations perpendicular to the loading axis are unaffected. However, ratcheting in the strain cycle experienced by the substrate, which occurs naturally by substrate creep, is necessary for anisotropic rumpling under cyclic stress conditions. Model predictions for constant applied stress are also presented, demonstrating a reversal in the direction of undulation alignment under compression. A threshold stress is identified, in both tension and compression, sufficient to produce appreciable anisotropic rumpling. The model predictions provide a clear mechanism for the anisotropy and further evidence that the lateral expansion strain in the oxide is the driving force for oxide rumpling.

  19. Anisotropic TGO rumpling in EB-PVD thermal barrier coatings under in-phase thermomechanical loading

    International Nuclear Information System (INIS)

    Balint, D.S.; Kim, S.-S.; Liu Yufu; Kitazawa, R.; Kagawa, Y.; Evans, A.G.

    2011-01-01

    An electron beam physical vapor deposited (EB-PVD) Y 2 O 3 -ZrO 2 thermal barrier system has been tested under in-phase thermomechanical fatigue (TMF) conditions with thermal gradient in the through-thickness direction. Undulations in the thermally grown oxide (TGO) were observed to have clear anisotropic behavior with respect to the directions parallel and perpendicular to the loading axis. It was found that undulation wavelengths were nearly the same in both directions but the amplitude in the perpendicular direction was much larger than in the parallel direction. A recent model of TGO rumpling was adapted and used to analyze and explain the origins of the observed rumpling behavior under TMF conditions. Methods for deducing variation in the coefficient of thermal expansion with temperature and in the creep properties of the substrate from the experimental strain data are also presented in the course of the derivations. Model results show that tensile stress applied in the loading direction can overcome the compression occurring from lateral expansion during oxide formation, causing undulations to flatten; undulations perpendicular to the loading axis are unaffected. However, ratcheting in the strain cycle experienced by the substrate, which occurs naturally by substrate creep, is necessary for anisotropic rumpling under cyclic stress conditions. Model predictions for constant applied stress are also presented, demonstrating a reversal in the direction of undulation alignment under compression. A threshold stress is identified, in both tension and compression, sufficient to produce appreciable anisotropic rumpling. The model predictions provide a clear mechanism for the anisotropy and further evidence that the lateral expansion strain in the oxide is the driving force for oxide rumpling.

  20. Challenging metastatic breast cancer with the natural defensin PvD1.

    Science.gov (United States)

    Figueira, Tiago N; Oliveira, Filipa D; Almeida, Inês; Mello, Érica O; Gomes, Valdirene M; Castanho, Miguel A R B; Gaspar, Diana

    2017-11-09

    Metastatic breast cancer is a very serious life threatening condition that poses many challenges for the pharmaceutical development of effective chemotherapeutics. As the therapeutics targeted to the localized masses in breast improve, metastatic lesions in the brain slowly increase in their incidence compromising successful treatment outcomes overall. The blood-brain-barrier (BBB) is one important obstacle for the management of breast cancer brain metastases. New therapeutic approaches are in demand for overcoming the BBB's breaching by breast tumor cells. In this work we demonstrate the potential dual role of a natural antimicrobial plant defensin, PvD 1 : it interferes with the formation of solid tumors in the breast and concomitantly controls adhesion of breast cancer cells to human brain endothelial cells. We have used a combination of techniques that probe PvD 1 's effect at the single cell level and reveal that this peptide can effectively damage breast tumor cells, leaving healthy breast and brain cells unaffected. Results suggest that PvD1 quickly internalizes in cancer cells but remains located in the membrane of normal cells with no significant damage to its structure and biomechanical properties. These interactions in turn modulate cell adhesiveness between tumor and BBB cells. PvD 1 is a potential template for the design of innovative pharmacological approaches for metastatic breast cancer treatment: the manipulation of the biomechanical properties of tumor cells that ultimately prevent their attachment to the BBB.

  1. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  2. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  3. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  4. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  5. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  6. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  7. Hardness and Elastic Modulus of Titanium Nitride Coatings Prepared by Pirac Method

    Science.gov (United States)

    Wu, Siyuan; Wu, Shoujun; Zhang, Guoyun; Zhang, Weiguo

    In the present work, hardness and elastic modulus of a titanium nitride coatings prepared on Ti6Al4V by powder immersion reaction-assisted coating (PIRAC) are tested and comparatively studied with a physical vapor deposition (PVD) TiN coating. Surface hardness of the PIRAC coatings is about 11GPa, much lower than that of PVD coating of 22GPa. The hardness distribution profile from surface to substrate of the PVD coatings is steeply decreased from ˜22GPa to ˜4.5GPa of the Ti6Al4V substrate. The PIRAC coatings show a gradually decreasing hardness distribution profile. Elastic modulus of the PVD coating is about 426GPa. The PIRAC coatings show adjustable elastic modulus. Elastic modulus of the PIRAC coatings prepared at 750∘C for 24h and that at 800∘C for 8h is about 234 and 293GPa, respectively.

  8. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  9. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  10. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  11. Adhesion and interface problems of EB-PVD thermal barrier coatings; Grenzschichtproblematik und Haftung von EB-PVD-Waermedaemmschichtsystemen

    Energy Technology Data Exchange (ETDEWEB)

    Fritscher, K.; Leyens, C. [Deutsche Forschungsanstalt fuer Luft- und Raumfahrt e.V. (DLR), Koeln (Germany). Inst. fuer Werkstoff-Forschung

    1996-12-31

    Loss of adhesion in thermal insulation layers produced by EB-PVD may be caused by surface morphologies or oxide phases resulting from previous process stages, e.g. shot peening, or inappropriate annealing conditions. These undesirable oxide phases are mostly spinels and silicates which pose mechanical problems. Annealing and densification must be modified in order to promote the formation of {alpha}-Al{sub 2}O{sub 3} layers. It may also be possible to avoid certain categories of flaws by changing one material partner (e.g. by using {beta}-NiAl-free adhesive layers). [Deutsch] Die Ursachen der Einbussen der Haftung in WDS-Systemen aus EB-PVD-Fertigung liegen oft in der Ausbildung von Oberflaechenmorphologien oder von Oxidphasen begruendet, die aus den der WDS-Beschichtung vorangehenden Verfahrensschritten wie z.B. des Glasperlstrahlens und von unangemessenen Gluehbedingungen herruehren koennen. Bei diesen unerwuenschten Oxidphasen handelt es sich u.a. um Spinelle und Silikate, die in mechanischer Hinsicht problematisch sind. Glueh- und Verdichtungsroutinen sind entsprechend zu modifizieren, um die Bildung von {alpha}-Al{sub 2}O{sub 3}-Schichten zu foerdern. Moeglicherweise sind gewisse Fehlerkategorien bereits dadurch zu umgehen, dass ein Materialpartner geaendert wird (Beispiel: {beta}-NiAl-freie Haftschichten anwenden). (orig.)

  12. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  13. Enhancing the oxidation resistance of graphite by applying an SiC coat with crack healing at an elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jae-Won, E-mail: pjw@kaeri.re.kr [Korea Atomic Energy Research Institute, 1045 Daedeok-Daero, Yuseong-Gu, Daejeon-City (Korea, Republic of); Kim, Eung-Seon; Kim, Jae-Un [Korea Atomic Energy Research Institute, 1045 Daedeok-Daero, Yuseong-Gu, Daejeon-City (Korea, Republic of); Kim, Yootaek [Dept. of Materials Engineering, Kyonggi Universtiy, Suwon (Korea, Republic of); Windes, William E. [Idaho National Laboratory, Idaho Falls, ID 83415 (United States)

    2016-08-15

    Highlights: • Ion beam mixed SiC coating was performed on the graphite for the enhanced adhesion. • The SiC coated was cracked at the elevated temperature, confirming the strong bonding, and then was vigorously oxidized leaving only the SiC layer. • For crack healing, CVD crack healing increased by ∼4 times in 20% weight reduction in air at 900 °C as compared to PVD crack healing. - Abstract: The potential of reducing the oxidation of the supporting graphite components during normal and/or accident conditions in the Very High Temperature Reactor (VHTR) design has been studied. In this work efforts have been made to slow the oxidation process of the graphite with a thin SiC coating (∼ 10 μm). Upon heating at ≥ 1173 K in air, the spallations and cracks were formed in the dense columnar structured SiC coating layer grown on the graphite with a functionally gradient electron beam physical vapor deposition (EB-PVD. In accordance with the formations of these defects, the sample was vigorously oxidized, leaving only the SiC coating layer. Then, efforts were made to heal the surface defects using additional EB-PVD with ion beam bombardment and chemical vapor deposition (CVD). The EB-PVD did not effectively heal the cracks. But, the CVD was more appropriate for crack healing, likely due to its excellent crack line filling capability with a high density and high aspect ratio. It took ∼ 34 min for the 20% weight loss of the CVD crack healed sample in the oxidation test with annealing at 1173 K, while it took ∼ 8 min for the EB-PVD coated sample, which means it took ∼4 times longer at 1173 K for the same weight reduction in this experimental set-up.

  14. Enhancing the oxidation resistance of graphite by applying an SiC coat with crack healing at an elevated temperature

    International Nuclear Information System (INIS)

    Park, Jae-Won; Kim, Eung-Seon; Kim, Jae-Un; Kim, Yootaek; Windes, William E.

    2016-01-01

    Highlights: • Ion beam mixed SiC coating was performed on the graphite for the enhanced adhesion. • The SiC coated was cracked at the elevated temperature, confirming the strong bonding, and then was vigorously oxidized leaving only the SiC layer. • For crack healing, CVD crack healing increased by ∼4 times in 20% weight reduction in air at 900 °C as compared to PVD crack healing. - Abstract: The potential of reducing the oxidation of the supporting graphite components during normal and/or accident conditions in the Very High Temperature Reactor (VHTR) design has been studied. In this work efforts have been made to slow the oxidation process of the graphite with a thin SiC coating (∼ 10 μm). Upon heating at ≥ 1173 K in air, the spallations and cracks were formed in the dense columnar structured SiC coating layer grown on the graphite with a functionally gradient electron beam physical vapor deposition (EB-PVD. In accordance with the formations of these defects, the sample was vigorously oxidized, leaving only the SiC coating layer. Then, efforts were made to heal the surface defects using additional EB-PVD with ion beam bombardment and chemical vapor deposition (CVD). The EB-PVD did not effectively heal the cracks. But, the CVD was more appropriate for crack healing, likely due to its excellent crack line filling capability with a high density and high aspect ratio. It took ∼ 34 min for the 20% weight loss of the CVD crack healed sample in the oxidation test with annealing at 1173 K, while it took ∼ 8 min for the EB-PVD coated sample, which means it took ∼4 times longer at 1173 K for the same weight reduction in this experimental set-up.

  15. Latest Developments in PVD Coatings for Tooling

    Directory of Open Access Journals (Sweden)

    Gabriela Strnad

    2010-06-01

    Full Text Available The paper presents the recent developments in the field of PVD coating for manufacturing tools. A review of monoblock, multilayer, nanocomposite, DLC and oxinitride coatings is discussed, with the emphasis on coatings which enables the manufacturers to implement high productivity processes such as high speed cutting and dry speed machining.

  16. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  17. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  18. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    Science.gov (United States)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  19. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  20. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  1. Clinical use of Malay Version of Vertigo Symptom Scale (MWSS) in patients with peripheral vestibular disorder (PVD).

    Science.gov (United States)

    Zainun, Zuraida; Zakaria, Mohd Normani; Sidek, Dinsuhaimi; Ismail, Zalina

    2012-08-01

    The Vertigo symptom scale (VSS) is a well established tool for the evaluation of vestibular disorders and the associated symptoms of autonomic arousal and somatosensation. By using a validated Malay version of vertigo symptom scale (MVVSS) questionnaire, the severity of the vertigo from patients' perspective can be determined and rated. Before MVVSS can be applied clinically among Malaysians, it was of interest to determine its clinical value in identifying vestibular disorders. Forty normal and 65 PVD subjects participated in this cross-sectional study. Normal subjects were recruited amongst Universiti Sains Malaysia (USM) staff and students who had no history of ear and vestibular disorders. Mean total score of MVVSS in normal and PVD subjects were 13.9 +/- 11.1 and 30.1 +/- 20.9, respectively. When the total scores of normal and PVD group were compared, the Mann-Whitney U test showed that there was a significant difference between the two groups (p PVD [benign paroxymal positional vertigo (BPPV), Meniere's disease, labyrinthitis and unknown] have different MVVSS results. However, analysis of variance (ANOVA) found no significant difference in term of outcomes of MVVSS among the different PVD pathologies. Using receiver operating characteristic curve (ROC) method, the sensitivity and specificity of MVVSS were 71% and 60%, respectively. MVVSS is able to discriminate clinically among the normal and PVD subjects. However, it is not a good indicator for differential diagnosis of PVD subtypes, at least in this study. Its sensitivity and specificity in clinical diagnosis are reasonably high. Perhaps a bigger sample size would be useful to further study the clinical usefulness of MVVSS.

  2. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  3. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  4. Prediction of the properties of PVD/CVD coatings with the use of FEM analysis

    International Nuclear Information System (INIS)

    Śliwa, Agata; Mikuła, Jarosław; Gołombek, Klaudiusz; Tański, Tomasz; Kwaśny, Waldemar; Bonek, Mirosław; Brytan, Zbigniew

    2016-01-01

    Highlights: • Prediction of the properties of PVD/CVD coatings with the use of (FEM) analysis. • Stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N coatings. • The experimental values of stresses were determined on X-ray diffraction patterns. • An FEM model was established for the purpose of building a computer simulation. - Abstract: The aim of this paper is to present the results of the prediction of the properties of PVD/CVD coatings with the use of finite element method (FEM) analysis. The possibility of employing the FEM in the evaluation of stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N, Ti/(Ti,Si)N/(Ti,Si)N, and Ti/DLC/DLC coatings by taking into account their deposition conditions on magnesium alloys has been discussed in the paper. The difference in internal stresses in the zone between the coating and the substrate is caused by, first of all, the difference between the mechanical and thermal properties of the substrate and the coating, and also by the structural changes that occur in these materials during the fabrication process, especially during the cooling process following PVD and CVD treatment. The experimental values of stresses were determined based on X-ray diffraction patterns that correspond to the modelled values, which in turn can be used to confirm the correctness of the accepted mathematical model for testing the problem. An FEM model was established for the purpose of building a computer simulation of the internal stresses in the coatings. The accuracy of the FEM model was verified by comparing the results of the computer simulation of the stresses with experimental results. A computer simulation of the stresses was carried out in the ANSYS environment using the FEM method. Structure observations, chemical composition measurements, and mechanical property characterisations of the investigated materials has been carried out to give a background for the discussion of the results that were

  5. Prediction of the properties of PVD/CVD coatings with the use of FEM analysis

    Energy Technology Data Exchange (ETDEWEB)

    Śliwa, Agata; Mikuła, Jarosław; Gołombek, Klaudiusz; Tański, Tomasz; Kwaśny, Waldemar; Bonek, Mirosław, E-mail: miroslaw.bonek@polsl.pl; Brytan, Zbigniew

    2016-12-01

    Highlights: • Prediction of the properties of PVD/CVD coatings with the use of (FEM) analysis. • Stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N coatings. • The experimental values of stresses were determined on X-ray diffraction patterns. • An FEM model was established for the purpose of building a computer simulation. - Abstract: The aim of this paper is to present the results of the prediction of the properties of PVD/CVD coatings with the use of finite element method (FEM) analysis. The possibility of employing the FEM in the evaluation of stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N, Ti/(Ti,Si)N/(Ti,Si)N, and Ti/DLC/DLC coatings by taking into account their deposition conditions on magnesium alloys has been discussed in the paper. The difference in internal stresses in the zone between the coating and the substrate is caused by, first of all, the difference between the mechanical and thermal properties of the substrate and the coating, and also by the structural changes that occur in these materials during the fabrication process, especially during the cooling process following PVD and CVD treatment. The experimental values of stresses were determined based on X-ray diffraction patterns that correspond to the modelled values, which in turn can be used to confirm the correctness of the accepted mathematical model for testing the problem. An FEM model was established for the purpose of building a computer simulation of the internal stresses in the coatings. The accuracy of the FEM model was verified by comparing the results of the computer simulation of the stresses with experimental results. A computer simulation of the stresses was carried out in the ANSYS environment using the FEM method. Structure observations, chemical composition measurements, and mechanical property characterisations of the investigated materials has been carried out to give a background for the discussion of the results that were

  6. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  7. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  8. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  9. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  10. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  11. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  12. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  13. Cu-filled through-hole electrode for ZnS using high adhesive strength Ni–P thin film

    International Nuclear Information System (INIS)

    Okamoto, Naoki; Miyamoto, Megumi; Saito, Takeyasu; Kondo, Kazuo; Fukumoto, Takafumi; Hirota, Masaki

    2012-01-01

    Zinc sulfide (ZnS) and related materials are important for applications in ultraviolet light emitting diodes, cathode ray tubes, flat panel displays and infrared ray (IR) windows. In order to utilize these optoelectronics devices in electronic products, 3D-packaging as well as wafer level packaging (WLP) are needed. The two methods used to achieve this are physical vapor deposition (PVD) and conventional electroless deposition processes. However, both these methods have problems. Films made by PVD are not always of uniform thickness if the substrate is not flat. On the other hand, films made by conventional electroless deposition have weak adhesive strength to substrates. In order to overcome these limitations, we developed a new electroless deposition process to form nickel–phosphorus (Ni–P) films. This process combines catalyzation (Cu deposition) and electroless deposition processes. The films made using the new process show high adhesive strength in tensile tests and also very uniform thickness. In addition, conformal Cu filling of through-holes was achieved by using this new electroless deposition process.

  14. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  15. Hard coatings

    International Nuclear Information System (INIS)

    Dan, J.P.; Boving, H.J.; Hintermann, H.E.

    1993-01-01

    Hard, wear resistant and low friction coatings are presently produced on a world-wide basis, by different processes such as electrochemical or electroless methods, spray technologies, thermochemical, CVD and PVD. Some of the most advanced processes, especially those dedicated to thin film depositions, basically belong to CVD or PVD technologies, and will be looked at in more detail. The hard coatings mainly consist of oxides, nitrides, carbides, borides or carbon. Over the years, many processes have been developed which are variations and/or combinations of the basic CVD and PVD methods. The main difference between these two families of deposition techniques is that the CVD is an elevated temperature process (≥ 700 C), while the PVD on the contrary, is rather a low temperature process (≤ 500 C); this of course influences the choice of substrates and properties of the coating/substrate systems. Fundamental aspects of the vapor phase deposition techniques and some of their influences on coating properties will be discussed, as well as the very important interactions between deposit and substrate: diffusions, internal stress, etc. Advantages and limitations of CVD and PVD respectively will briefly be reviewed and examples of applications of the layers will be given. Parallel to the development and permanent updating of surface modification technologies, an effort was made to create novel characterisation methods. A close look will be given to the coating adherence control by means of the scratch test, at the coating hardness measurement by means of nanoindentation, at the coating wear resistance by means of a pin-on-disc tribometer, and at the surface quality evaluation by Atomic Force Microscopy (AFM). Finally, main important trends will be highlighted. (orig.)

  16. Analysis of influence of different pressure and different depth of pvd on soft foundation treatment

    Science.gov (United States)

    Li, Bin; Wang, XueKui

    2018-02-01

    According to the depth of plastic vertical drainage (pvd), the arrangement mode and the loading mode to analyze the influence of Vacuum preloading near the existing road. An arrangement mode of vacuum preloading to reduce the impact was put forward. The combination of different depth of pvd and loading modes are used to analyze the effect of vacuum preloading treatment and its influence range. The calculations show that the deformation and the influence distance are smaller by using the 40kPa vacuum loading and 41kPa surcharge load preloading. Reducing the depth of the pvd and vacuum combined surcharge preloading can weaken the influence to the existing highway.

  17. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  18. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  19. Effect of deposition time of sputtering Ag-Cu thin film on mechanical and antimicrobial properties

    Science.gov (United States)

    Purniawan, A.; Hermastuti, R.; Purwaningsih, H.; Atmono, T. M.

    2018-04-01

    Metallic implants are important components in biomedical treatment. However, post-surgery infection often occurs after installation of implant. The infections are usually treated by antibiotics, but it still causes several secondary problems. As a prevention treatment, the surgical instruments and implants must be in a sterile condition. This action is still not optimal too because the material still can attract the bacteria. From material science point of view, it can be anticipated by developing a type of material which has antibacterial properties or called antimicrobial material. Silver (Ag) and Copper (Cu) have antimicrobial properties to prevent the infection. In this research, the influence of deposition time of Ag-Cu thin film deposition process as antimicrobial material with Physical Vapor Deposition (PVD) RF Sputtering method was analyzed. Deposition time used were for 10, 15 and 20 minutes in Argon gas pressure around 3 x 10-2 mbar in during deposition process. The morphology and surface roughness of Ag-Cu thin film were characterized using SEM and AFM. Based on the results, the deposition time influences the quality morphology that the thin films have good homogeneity and complete structure for longer deposition time. In addition, from roughness measurement results show that increase deposition time decrease the roughness of thin film. Antimicrobial performance was analyzed using Kirby Bauer Test. The results show that all of sample have good antimicrobial inhibition. Adhesion quality was evaluated using Rockwell C Indentation Test. However, the results indicate that the Ag-Cu thin film has low adhesion strength.

  20. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  2. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  3. Thick CrN/NbN multilayer coating deposited by cathodic arc technique

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, Juliano Avelar; Tschiptschin, Andre Paulo; Souza, Roberto Martins, E-mail: antschip@usp.br [Universidade de Sao Paulo (USP), SP (Brazil); Lima, Nelson Batista de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2017-01-15

    The production of tribological nanoscale multilayer CrN/NbN coatings up to 6 μm thick by Sputtering/HIPIMS has been reported in literature. However, high demanding applications, such as internal combustion engine parts, need thicker coatings (>30 μm). The production of such parts by sputtering would be economically restrictive due to low deposition rates. In this work, nanoscale multilayer CrN/NbN coatings were produced in a high-deposition rate, industrial-size, Cathodic Arc Physical Vapor Deposition (ARC-PVD) chamber, containing three cathodes in alternate positions (Cr/ Nb/Cr). Four 30 μm thick NbN/CrN multilayer coatings with different periodicities (20, 10, 7.5 and 4 nm) were produced. The coatings were characterized by X-Ray Diffraction (XRD) and Transmission Electron Microscopy (TEM). The multilayer coating system was composed of alternate cubic rock salt CrN and NbN layers, coherently strained due to lattice mismatch. The film grew with columnar morphology through the entire stratified structure. The periodicities adopted were maintained throughout the entire coating. The 20 nm periodicity coating showed separate NbN and CrN peaks in the XRD patterns, while for the lower periodicity (≤10nm) coatings, just one intermediate lattice (d-spacing) was detected. An almost linear increase of hardness with decreasing bilayer period indicates that interfacial effects can dominate the hardening mechanisms. (author)

  4. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  5. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  6. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  7. Full Scale Model Test of Consolidation Acceleration on Soft Soil deposition with Combination of Timber Pile and PVD (Hybrid Pile)

    OpenAIRE

    Sandyutama, Y.; Samang, L.; Imran, A. M.; Harianto4, T.

    2015-01-01

    This research aims to analyze the effect of composite pile-PVD (hybrid pile) as the reinforcement in embankment on soft soil by the means of numerical simulation and Full-Scale Trial Embankment. The first phase cunducted by numerical analysis and obtained 6-8 meters hybrid pile length effective. Full-Scale trial embankment. was installed hybrid pile of 6 m and preloading of 4,50 height. Full-scale tests were performed to investigate the performances of Hybrid pile reinforcement. This research...

  8. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  9. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  10. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  11. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  12. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  13. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  14. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  15. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    Science.gov (United States)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  16. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  17. Numerical evaluation of ABS parts fabricated by fused deposition modeling and vapor smoothing

    Directory of Open Access Journals (Sweden)

    Sung-Uk Zhang

    2017-12-01

    Full Text Available The automotive industry has focused to use polymer materials in order to increase energy efficiency. So, the industry pays attention to use 3D printing technologies using several polymers. Among several 3D printer technologies, fused deposition modeling (FDM is one of the popular 3D printing technologies due to an inexpensive extrusion machine and multi-material printing. FDM could use thermoplastics such as ABS, PLA, ULTEM so on. However, it has a problem related to the post-processing because FDM has relatively poor layer resolution. In this study, the mechanical properties of ABS parts fabricated by FDM were measured. The ABS parts were divided into one with vapor smoothing process and the other without the vapor smoothing process which is one of the post-processing methods. Using dynamic mechanical analysis (DMA and dilatometer, temperature-dependent storage modulus and CTE for ABS specimens were measured. Based on the measured thermo-mechanical properties of ABS parts, finite element analysis was performed for an automotive bumper made of ABS. Moreover, response surface methodology was applied to study relationships among design parameters of thickness of the bumper, ambient temperature, and application of the vapor smoothing process. In result, a design guideline for a ABS product could be provided without time-consuming experiments

  18. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  19. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  20. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  1. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  2. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  3. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  4. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  5. Hydroxyapatite formation on biomedical Ti–Ta–Zr alloys by magnetron sputtering and electrochemical deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyun-Ju [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Jeong, Yong-Hoon [Biomechanics and Tissue Engineering Laboratory, Division of Orthodontics, College of Dentistry, The Ohio State University, Columbus, OH (United States); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Brantley, William A. [Division of Prosthodontics and Restorative Science, College of Dentistry, The Ohio State University, Columbus, OH (United States)

    2014-12-01

    The purpose of this study was to investigate hydroxyapatite formation on Ti-25Ta-xZr titanium alloys resulting from radio-frequency magnetron sputtering and electrochemical deposition. Electrochemical deposition of hydroxyapatite (HA) was first carried out using a cyclic voltammetry (CV) method at 80 °C in 5 mM Ca (NO{sub 3}){sub 2} + 3 mM NH{sub 4}H{sub 2}PO{sub 4}. Then a physical vapor deposition (PVD) coating was obtained by a radio-frequency (RF) magnetron sputtering technique. The microstructures, phase transformations, and morphologies of the hydroxyapatite films deposited on the titanium alloys were analyzed by optical microscopy (OM), X-ray diffractometer (XRD), energy dispersive X-ray spectroscopy (EDS) and field-emission scanning electron microscopy (FE-SEM). The morphologies of electrochemically deposited HA showed plate-like shapes on the titanium alloys, and the morphologies of the RF-sputtered HA coating had the appearance droplet particles on the plate-like precipitates that had formed by electrochemical deposition. For the RF-sputtered HA coatings, the Ca/P ratio was increased, compared to that for the electrochemically deposited HA surface. Moreover, the RF-sputtered HA coating, consisting of agglomerated droplet particles on the electrochemically deposited HA surface, had better wettability compared to the bulk titanium alloy surface. - Highlights: • Hydroxyapatite (HA) was deposited on Ti–Ta–Zr alloys by radio-frequency (RF) magnetron sputtering and a cyclic voltammetry. • The morphologies of the RF-sputtered HA coating on electrochemical deposits presented plate-like shapes with a droplet particle. • The Ca/P ratio for RF-sputtered HA coatings was greater than that for electrochemical deposited HA coatings. • The RF-sputtered and electrochemical HA coatings had superior wettability compared to the electrochemically deposited coatings.

  6. Hydroxyapatite formation on biomedical Ti–Ta–Zr alloys by magnetron sputtering and electrochemical deposition

    International Nuclear Information System (INIS)

    Kim, Hyun-Ju; Jeong, Yong-Hoon; Choe, Han-Cheol; Brantley, William A.

    2014-01-01

    The purpose of this study was to investigate hydroxyapatite formation on Ti-25Ta-xZr titanium alloys resulting from radio-frequency magnetron sputtering and electrochemical deposition. Electrochemical deposition of hydroxyapatite (HA) was first carried out using a cyclic voltammetry (CV) method at 80 °C in 5 mM Ca (NO 3 ) 2 + 3 mM NH 4 H 2 PO 4 . Then a physical vapor deposition (PVD) coating was obtained by a radio-frequency (RF) magnetron sputtering technique. The microstructures, phase transformations, and morphologies of the hydroxyapatite films deposited on the titanium alloys were analyzed by optical microscopy (OM), X-ray diffractometer (XRD), energy dispersive X-ray spectroscopy (EDS) and field-emission scanning electron microscopy (FE-SEM). The morphologies of electrochemically deposited HA showed plate-like shapes on the titanium alloys, and the morphologies of the RF-sputtered HA coating had the appearance droplet particles on the plate-like precipitates that had formed by electrochemical deposition. For the RF-sputtered HA coatings, the Ca/P ratio was increased, compared to that for the electrochemically deposited HA surface. Moreover, the RF-sputtered HA coating, consisting of agglomerated droplet particles on the electrochemically deposited HA surface, had better wettability compared to the bulk titanium alloy surface. - Highlights: • Hydroxyapatite (HA) was deposited on Ti–Ta–Zr alloys by radio-frequency (RF) magnetron sputtering and a cyclic voltammetry. • The morphologies of the RF-sputtered HA coating on electrochemical deposits presented plate-like shapes with a droplet particle. • The Ca/P ratio for RF-sputtered HA coatings was greater than that for electrochemical deposited HA coatings. • The RF-sputtered and electrochemical HA coatings had superior wettability compared to the electrochemically deposited coatings

  7. Identification of residues of FpvA involved in the different steps of Pvd-Fe uptake in Pseudomonas aeruginosa.

    Science.gov (United States)

    Nader, Mirella; Dobbelaere, Wim; Vincent, Michel; Journet, Laure; Adams, Hendrik; Cobessi, David; Gallay, Jacques; Schalk, Isabelle J

    2007-10-23

    FpvA is an outer membrane transporter involved in iron uptake by the siderophore pyoverdine (Pvd) in Pseudomonas aeruginosa. This transporter, like all other proteins of the same family, consists of a transmembrane 22 beta-stranded barrel occluded by a plug domain. The beta-strands of the barrel are connected by large extracellular loops and short periplasmic turns. Site-directed mutagenesis was carried out on FpvA to identify the extracellular loops or parts of these loops involved in the various stages of Pvd-Fe uptake. The G286C, W362C, and W434C mutations in loops L1, L3, and L4, respectively, disturbed the binding of the apo siderophore, as shown by time-resolved fluorescence spectroscopy. Iron uptake experiments followed by fluorescence resonance energy transfer (FRET) or using 55Fe indicated that residues W434 and G701 and, therefore, loops L4 and L9 must be involved in Pvd-Fe uptake by FpvA. The two corresponding mutants incorporated smaller than normal amounts of 55Fe into cells, and no Pvd recycling on FpvA was observed after iron release. Surprisingly, the S603C mutation in loop L7 increased the amount of Pvd-Fe transported. Our results suggest that W434 (L4), S603 (L7), and G701 (L9) are involved in the mechanism of Pvd-Fe uptake.

  8. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  9. Investigation of Chirality Selection Mechanism of Single Walled Carbon Nanotube-3

    Science.gov (United States)

    2017-12-14

    termination. Fe ions were implanted into Al2O3 (10 nm) / SiO2 / Si wafer and then thin Fe film (1 nm) was deposited on the substrate by e-beam...for the growth of uniform and tall CNT forests. Introduction : The key lesson from the research of previous years’ is that maintaining the catalyst...catalyst preparation—physical vapor deposition (PVD) of a catalyst thin film on a substrate by thermal evaporation, e-beam evaporation, or sputtering

  10. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  11. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  12. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  13. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  14. Electrospun PVdF-based fibrous polymer electrolytes for lithium ion polymer batteries

    International Nuclear Information System (INIS)

    Kim, Jeong Rae; Choi, Sung Won; Jo, Seong Mu; Lee, Wha Seop; Kim, Byung Chul

    2004-01-01

    This paper discusses the preparation of microporous fibrous membranes from PVdF solutions with different polymer contents, using the electrospinning technique. Electrospun PVdF-based fibrous membranes with average fiber diameters (AFD's) of 0.45-1.38 μm have an apparent porosity and a mean pore size (MPS) of 80-89% and 1.1-4.3 μm, respectively. They exhibited a high uptake of the electrolyte solution (320-350%) and a high ionic conductivity of above 1 x 10 -3 s/cm at room temperature. Their ionic conductivity increased with the decrease in the AFD of the fibrous membrane due to its high electrolyte uptake. The interaction between the electrolyte molecules and the PVdF with a high crystalline content may have had a minor effect on the lithium ion transfer in the fibrous polymer electrolyte, unlike in a nanoporous gel polymer electrolyte. The fibrous polymer electrolyte that contained a 1 M LiPF 6 -EC/DMC/DEC (1/1/1 by weight) solution showed a high electrochemical stability of above 5.0 V, which increased with the decrease in the AFD The interfacial resistance (R i ) between the polymer electrolyte and the lithium electrode slightly increased with the storage time, compared with the higher increase in the interfacial resistance of other gel polymer electrolytes. The prototype cell (MCMB/PVdF-based fibrous electrolyte/LiCoO 2 ) showed a very stable charge-discharge behavior with a slight capacity loss under constant current and voltage conditions at the C/2-rate of 20 and 60 deg. C

  15. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  16. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  17. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  18. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  19. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  20. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  1. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  2. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  4. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  5. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  6. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  7. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  8. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  9. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  10. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  11. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  12. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  13. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  14. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  15. Properties of Pd nanograins in C-Pd composite films obtained by PVD method

    Directory of Open Access Journals (Sweden)

    Kozłowski M.

    2015-09-01

    Full Text Available Properties of palladium nanograins obtained by sedimentation of a soluted C-Pd film prepared by PVD method are presented. These properties were studied using SEM and TEM methods. Dissolved films were prepared by PVD method and after dissolving, they were fractionated to obtain different parts classified with palladium nanograins diameters. Several classes of diameters were determined: below 20 nm, between 20 and 100 nm and above 100 nm. The defects and triple junction were observed. Multishell carbonaceous structures were found in the big and medium size Pd nanograins.

  16. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  17. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  18. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  19. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  20. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)