WorldWideScience

Sample records for vapor deposited hfo2

  1. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  2. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  3. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  4. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  5. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  6. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  7. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  8. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  9. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    Science.gov (United States)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  10. Solid phase crystallisation of HfO2 thin films

    International Nuclear Information System (INIS)

    Modreanu, M.; Sancho-Parramon, J.; O'Connell, D.; Justice, J.; Durand, O.; Servet, B.

    2005-01-01

    In this paper, we report on the solid phase crystallisation of carbon-free HfO 2 thin films deposited by plasma ion assisted deposition (PIAD). After deposition, the HfO 2 films were annealed in N 2 ambient for 3 h at 350, 550 and 750 deg. C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE) and atomic force microscopy (AFM) were used for the physical characterisation of as-deposited and annealed HfO 2 . XRD has revealed that the as-deposited HfO 2 film is in an amorphous-like state with only traces of crystalline phase and that the annealed films are in a highly crystalline state. These results are in good agreement with the SE results showing an increase of refractive index by increasing the annealing temperature. XRR results show a significant density gradient over the as-deposited film thickness, which is characteristic of the PIAD method. The AFM measurements show that the HfO 2 layers have a smooth surface even after annealing at 750 deg. C. The present study demonstrates that the solid phase crystallisation of HfO 2 PIAD thin films starts at a temperature as low as 550 deg. C

  11. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  12. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  14. Atomic layer deposition of HfO2 on graphene through controlled ion beam treatment

    International Nuclear Information System (INIS)

    Kim, Ki Seok; Oh, Il-Kwon; Jung, Hanearl; Kim, Hyungjun; Yeom, Geun Young; Kim, Kyong Nam

    2016-01-01

    The polymer residue generated during the graphene transfer process to the substrate tends to cause problems (e.g., a decrease in electron mobility, unwanted doping, and non-uniform deposition of the dielectric material). In this study, by using a controllable low-energy Ar + ion beam, we cleaned the polymer residue without damaging the graphene network. HfO 2 grown by atomic layer deposition on graphene cleaned using an Ar + ion beam showed a dense uniform structure, whereas that grown on the transferred graphene (before Ar + ion cleaning) showed a non-uniform structure. A graphene–HfO 2 –metal capacitor fabricated by growing 20-nm thick HfO 2 on graphene exhibited a very low leakage current (<10 −11 A/cm 2 ) for Ar + ion-cleaned graphene, whereas a similar capacitor grown using the transferred graphene showed high leakage current.

  15. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  16. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  17. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  18. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  19. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  20. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Science.gov (United States)

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  1. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  2. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  3. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  4. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    Science.gov (United States)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  5. Optical properties of a HfO2/Si stack with a trace amount of nitrogen incorporation

    Science.gov (United States)

    Ye, Li; Tingting, Jiang; Qingqing, Sun; Pengfei, Wang; Shijin, Ding; Wei, Zhang

    2012-03-01

    HfO2 films were deposited by atomic layer deposition through alternating pulsing of Hf[N(C2H5)(CH3)]4 and H2O2. A trace amount of nitrogen was incorporated into the HfO2 through ammonia annealing. The composition, the interface stability of the HfO2/Si stack and the optical properties of the annealed films were analyzed to investigate the property evolution of HfO2 during thermal treatment. With a nitrogen concentration increase from 1.41 to 7.45%, the bandgap of the films decreased from 5.82 to 4.94 eV.

  6. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  7. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  8. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  9. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  10. Structural, morphological, optical and photoluminescence properties of HfO2 thin films

    International Nuclear Information System (INIS)

    Ma, C.Y.; Wang, W.J.; Wang, J.; Miao, C.Y.; Li, S.L.; Zhang, Q.Y.

    2013-01-01

    Nanocrystalline monoclinic HfO 2 films with an average crystal size of 4.2–14.8 nm were sputter deposited under controlled temperatures and their structural characteristics and optical and photoluminescence properties have been evaluated. Structural investigations indicate that monoclinic HfO 2 films grown at higher temperatures above 400 °C are highly oriented along the (− 111) direction. The lattice expansion increases with diminishing HfO 2 crystalline size below 6.8 nm while maximum lattice expansion occurs with highly oriented monoclinic HfO 2 of crystalline size about 14.8 nm. The analysis of atomic force microscopy shows that the film growth at 600 °C can be attributed to the surface-diffusion-dominated growth. The intensity of the shoulderlike band that initiates at ∼ 5.7 eV and saturates at 5.94 eV shows continued increase with increasing crystalline size, which is intrinsic to nanocrystalline monoclinic HfO 2 films. Optical band gap varies in the range 5.40 ± 0.03–5.60 ± 0.03 eV and is slightly decreased with the increase in crystalline size. The luminescence band at 4.0 eV of HfO 2 films grown at room temperature can be ascribed to the vibronic transition of excited OH · radical while the emission at 3.2–3.3 eV for the films grown at all temperatures was attributed to the radiative recombination at impurity and/or defect centers. - Highlights: • Nanocrystalline monoclinic HfO 2 films were sputter deposited. • Structural, optical and photoluminescence properties were studied. • To analyze the scaling behavior using the power spectral density • Optical and photoluminescence properties strongly depend on film growth temperature

  11. Characterization of luminescent samarium doped HfO2 coatings synthesized by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Chacon-Roa, C; Guzman-Mendoza, J; Aguilar-Frutis, M; Garcia-Hipolito, M; Alvarez-Fragoso, O; Falcony, C

    2008-01-01

    Trivalent samarium (Sm 3+ ) doped hafnium oxide (HfO 2 ) films were deposited using the spray pyrolysis deposition technique. The films were deposited on Corning glass substrates at temperatures ranging from 300 to 550 deg. C using chlorides as raw materials. Films, mostly amorphous, were obtained when deposition temperatures were below 350 deg. C. However, for temperatures higher than 400 deg. C, the films became polycrystalline, presenting the HfO 2 monoclinic phase. Scanning electron microscopy of the films revealed a rough surface morphology with spherical particles. Also, electron energy dispersive analysis was performed on these films. The photoluminescence and cathodoluminescence characteristics of the HfO 2 : SmCl 3 films, measured at room temperature, exhibited four main bands centred at 570, 610, 652 and 716 nm, which are due to the well-known intra-4f transitions of the Sm 3+ ion. It was found that the overall emission intensity rose as the deposition temperature was increased. Furthermore, a concentration quenching of the luminescence intensity was also observed

  12. Influence of O2 flow rate on HfO2 gate dielectrics for back-gated graphene transistors

    International Nuclear Information System (INIS)

    Ganapathi, Kolla Lakshmi; Bhat, Navakanta; Mohan, Sangeneni

    2014-01-01

    HfO 2  thin films deposited on Si substrate using electron beam evaporation, are evaluated for back-gated graphene transistors. The amount of O 2  flow rate, during evaporation is optimized for 35 nm thick HfO 2  films, to achieve the best optical, chemical and electrical properties. It has been observed that with increasing oxygen flow rate, thickness of the films increased and refractive index decreased due to increase in porosity resulting from the scattering of the evaporant. The films deposited at low O 2  flow rates (1 and 3 SCCM) show better optical and compositional properties. The effects of post-deposition annealing and post-metallization annealing in forming gas ambience (FGA) on the optical and electrical properties of the films have been analyzed. The film deposited at 3 SCCM O 2  flow rate shows the best properties as measured on MOS capacitors. To evaluate the performance of device properties, back-gated bilayer graphene transistors on HfO 2  films deposited at two O 2  flow rates of 3 and 20 SCCM have been fabricated and characterized. The transistor with HfO 2  film deposited at 3 SCCM O 2  flow rate shows better electrical properties consistent with the observations on MOS capacitor structures. This suggests that an optimum oxygen pressure is necessary to get good quality films for high performance devices. (paper)

  13. Thermoluminescence in films of HfO2:Dy+3

    International Nuclear Information System (INIS)

    Ceron, P.; Rivera, T.; Guzman, J.; Montes, E.; Pelaez, A.; Rojas, B.; Guzman, D.; Azorin, J.; Paredes, L.

    2014-08-01

    In this work the thermoluminescence (TL) response of films of hafnium oxide polluted with dysprosium (HfO 2 :Dy +3 ) that were irradiated in the near UV (200 nm - 400 nm). The films were deposited by means of the ultrasonics spray pyrolysis technique on a glass substrate, using different deposit temperatures (300 grades C - 600 grades C). The best TL emission corresponded to the prepared film to 450 grades C that was exposed to a spectral irradiation of 80 μJ/(cm 2 -s) with a wave longitude of 240 nm. The TL response in function of the spectral irradiation was lineal in the studied interval (24 to 288 mJ/cm 2 ), several kinetic parameters were also calculated of the shine curve as depth of the trap (E), frequency factor (s) and order to the kinetics (b). The obtained results show that the films of HfO 2 :Dy +3 could be used as radiation monitor in the region of the near UV. (Author)

  14. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  15. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  16. Difference in Thermal Degradation Behavior of ZrO2 and HfO2 Anodized Capacitors

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Yoshida, Naoto; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2004-09-01

    Microcrystalline ZrO2 and HfO2 thin film capacitors were prepared by anodizing sputter-deposited Zr and Hf films. The thermal degradation behavior of both anodized capacitors was clarified by the measurement of their capacitance properties and Auger depth profiles before and after heat treatment in air. As a result, it is confirmed that the heat-resistance property of the HfO2 anodized capacitor is superior to that of the ZrO2 capacitor. In addition, it is revealed that the thermal degradation of the ZrO2 anodized capacitor is caused by the diffusion of Zr atoms from the underlying layer into the ZrO2 anodized layer, while that of the HfO2 anodized capacitor is caused by the diffusion of oxygen atoms from the anodized layer into the underlying Hf layer.

  17. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  18. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    Science.gov (United States)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  19. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  20. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  1. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    Science.gov (United States)

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  2. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  3. Carbon-coated ZnO mat passivation by atomic-layer-deposited HfO2 as an anode material for lithium-ion batteries.

    Science.gov (United States)

    Jung, Mi-Hee

    2017-11-01

    ZnO has had little consideration as an anode material in lithium-ion batteries compared with other transition-metal oxides due to its inherent poor electrical conductivity and large volume expansion upon cycling and pulverization of ZnO-based electrodes. A logical design and facile synthesis of ZnO with well-controlled particle sizes and a specific morphology is essential to improving the performance of ZnO in lithium-ion batteries. In this paper, a simple approach is reported that uses a cation surfactant and a chelating agent to synthesize three-dimensional hierarchical nanostructured carbon-coated ZnO mats, in which the ZnO mats are composed of stacked individual ZnO nanowires and form well-defined nanoporous structures with high surface areas. In order to improve the performance of lithium-ion batteries, HfO 2 is deposited on the carbon-coated ZnO mat electrode via atomic layer deposition. Lithium-ion battery devices based on the carbon-coated ZnO mat passivation by atomic layer deposited HfO 2 exhibit an excellent initial discharge and charge capacities of 2684.01 and 963.21mAhg -1 , respectively, at a current density of 100mAg -1 in the voltage range of 0.01-3V. They also exhibit cycle stability after 125 cycles with a capacity of 740mAhg -1 and a remarkable rate capability. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  5. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  6. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  7. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  8. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    Science.gov (United States)

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  9. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  10. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  11. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  12. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    Science.gov (United States)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  13. Chemical reaction at the interface between pentacene and HfO2

    International Nuclear Information System (INIS)

    Kang, S.J.; Yi, Y.; Kim, K.H.; Yoo, C.Y.; Moewes, A.; Cho, M.H.; Denlinger, J.D.; Whang, C.N.; Chang, G.S.

    2005-01-01

    The electronic structure and the interface formation at the interface region between pentacene and HfO2 are investigated using x-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and x-ray emission spectroscopy (XES). The measured C 1s XPS spectra of pentacene indicate that chemical bonding occurs at the interface between pentacene and HfO2. The carbon of pentacene reacts with oxygen belonging to HfO2 and band bending occurs at the interface due to a redistribution of charge. The determined interface dipole and band bending between pentacene and HfO2 are 0.04 and 0.1 eV, respectively. The highest occupied molecular orbital (HOMO) level is observed at 0.68 eV below the Fermi level. This chemical reaction allows us to grow a pentacene film with large grains onto HfO2. We conclude that high performance pentacene thin film transistors can be obtained by inserting an ultrathin HfO2 layer between pentacene and a gate insulator

  14. Mechanical properties of ultra-thin HfO2 films studied by nano scratches tests

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Yong-Qing; Chang, Chia-Wei; Yao, Chih-Kai; Liao, Jiunn-Der

    2013-01-01

    10-nm-thick atomic layer deposited HfO 2 films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi x O y induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi x O y with increasing annealing temperatures. The existence of HfSi x O y broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi x O y induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO 2 films were assessed by nano-scratch and indentation. ► Scratch depth of HfO 2 films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO 2 films increased with the increase of annealing temperatures

  15. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  16. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    Science.gov (United States)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  17. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  18. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  19. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    Science.gov (United States)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  20. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  1. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  2. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  3. Perpendicular magnetic anisotropy of CoFeB\\Ta bilayers on ALD HfO2

    Directory of Open Access Journals (Sweden)

    Bart F. Vermeulen

    2017-05-01

    Full Text Available Perpendicular magnetic anisotropy (PMA is an essential condition for CoFe thin films used in magnetic random access memories. Until recently, interfacial PMA was mainly known to occur in materials stacks with MgO\\CoFe(B interfaces or using an adjacent crystalline heavy metal film. Here, PMA is reported in a CoFeB\\Ta bilayer deposited on amorphous high-κ dielectric (relative permittivity κ=20 HfO2, grown by atomic layer deposition (ALD. PMA with interfacial anisotropy energy Ki up to 0.49 mJ/m2 appears after annealing the stacks between 200°C and 350°C, as shown with vibrating sample magnetometry. Transmission electron microscopy shows that the decrease of PMA starting from 350°C coincides with the onset of interdiffusion in the materials. High-κ dielectrics are potential enablers for giant voltage control of magnetic anisotropy (VCMA. The absence of VCMA in these experiments is ascribed to a 0.6 nm thick magnetic dead layer between HfO2 and CoFeB. The results show PMA can be easily obtained on ALD high-κ dielectrics.

  4. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  5. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  6. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  7. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  8. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  9. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  10. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    Science.gov (United States)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  11. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    Science.gov (United States)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  12. SIMS study of oxygen diffusion in monoclinic HfO2

    Science.gov (United States)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  13. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  14. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  15. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    International Nuclear Information System (INIS)

    Schuster, Paul; Bertermann, Ruediger; Snow, Timothy A.; Han Xing; Rusch, George M.; Jepson, Gary W.; Dekant, Wolfgang

    2008-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a non-ozone-depleting fluorocarbon replacement with a low global warming potential which has been developed as refrigerant. The biotransformation of HFO-1234yf was investigated after inhalation exposure. Male Sprague-Dawley rats were exposed to air containing 2000, 10,000, or 50,000 ppm HFO-1234yf for 6 h and male B6C3F1 mice were exposed to 50,000 ppm HFO-1234yf for 3.5 h in a dynamic exposure chamber (n = 5/concentration). After the end of the exposure, animals were individually housed in metabolic cages and urines were collected at 6 or 12-hour intervals for 48 h. For metabolite identification, urine samples were analyzed by 1 H-coupled and decoupled 19 F-NMR and by LC/MS-MS or GC/MS. Metabolites were identified by 19 F-NMR chemical shifts, signal multiplicity, 1 H- 19 F coupling constants and by comparison with synthetic reference compounds. In all urine samples, the predominant metabolites were two diastereomers of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine. In 19 F-NMR, the signal intensity of these metabolites represented more than 85% (50,000 ppm) of total 19 F related signals in the urine samples. Trifluoroacetic acid, 3,3,3-trifluorolactic acid, 3,3,3-trifluoro-1-hydroxyacetone, 3,3,3-trifluoroacetone and 3,3,3-trifluoro-1,2-dihydroxypropane were present as minor metabolites. Quantification of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine by LC/MS-MS showed that most of this metabolite (90%) was excreted within 18 h after the end of exposure (t 1/2 app. 6 h). In rats, the recovery of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine excreted within 48 h in urine was determined as 0.30 ± 0.03, 0.63 ± 0.16, and 2.43 ± 0.86 μmol at 2000, 10,000 and 50,000 ppm, respectively suggesting only a low extent (<< 1% of dose received) of biotransformation of HFO-1234yf. In mice, the recovery of this metabolite was 1.774 ± 0.4 μmol. Metabolites identified after in vitro incubations of HFO

  16. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal

    2015-06-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  19. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  20. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  1. Preparation and characterization of Ce-doped HfO2 nanoparticles

    International Nuclear Information System (INIS)

    Gálvez-Barboza, S.; González, L.A.; Puente-Urbina, B.A.; Saucedo-Salazar, E.M.; García-Cerda, L.A.

    2015-01-01

    Highlights: • Ce-doped HfO 2 nanoparticles were prepared by a modified solgel method. • Ce-doped HfO 2 nanoparticles have a semispherical shape with sizes between 6 and 11.5 nm. • The samples doped with 10% in weight of Ce directly crystallized in a cubic structure. • A quick, straightforward and effective route for the preparation of Ce-doped nanoparticles. - Abstract: A modified solgel method to synthesize Ce-doped HfO 2 nanoparticles was carried out using a precursor material prepared with cerium nitrate, hafnium chloride, citric acid and ethylene glycol. The obtained precursor material was calcined at 500 and 700 °C for 2 h in air. The influence of the concentration of Ce and the calcination temperature was studied to observe the structural and morphological changes of the obtained materials. For the characterization, X-ray diffraction (XRD), transmission electron microscopy (TEM) and Raman scattering (RS) were employed. The XRD patterns shown that the Ce-doped HfO 2 undergoes a structural transformation from monoclinic to cubic phase, which is significantly dependent on the Ce content and calcination temperature. TEM images have also confirmed the existence of semispherical nanoparticles with sizes between 6 and 11.5 nm

  2. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  3. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  4. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  5. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    Science.gov (United States)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  6. Self-diffusion of Er and Hf inpure and HfO2-doped polycrystalline Er2O3

    International Nuclear Information System (INIS)

    Scheidecker, R.W.

    1979-01-01

    Using a tracer technique, self-diffusion of Er and Hf was measured over the approximate temperature interval of 1600 to 1970 0 C in pure and HfO 2 -doped polycryatalline Er 2 O 3 . Up to about 10 m/o HfO 2 dopant level, the Er self-diffusion coefficients followed a relationship based on cation vacancies. Above 10 m/o HfO 2 , deviation from this relationship occurred, apparently due to clustering of cation vacancies and oxygen interstitials around the dopant hafnia ion. The activation energy for the self-diffusion of Er in pure Er 2 O 3 was 82.2 Kcal/mole and increased with the HfO 2 dopant level present. Self-diffusion of Hf was measured in pure Er 2 O 3 having two impurity levels, and a separation of the grain boundary. The volume diffusion of Hf showed both extrinsic and intrinsic behavior with the transition temperature increasing with the impurity level present in Er 2 O 3 . The activation energy for Hf volume diffusion in the intrinsic region was high, i.e. 235 -+ 9.5 Kcal/mole. The grain boundary diffusion was apparently extrinsic over the entire temperature interval Very low Hf self diffusion rates were found in both pure and HfO 2 doped Er 2 O 3 compositions. Despite a clustering effect, the HfO 2 dopant increased the Hf volume diffusion coefficients

  7. Chemical states and electronic structure of a HfO(-2)/Ge(001) interface

    International Nuclear Information System (INIS)

    Seo, Kang-ill; McIntyre, Paul C.; Stanford U., Materials Sci. Dept.; Sun, Shiyu; Lee, Dong-Ick; Pianetta, Piero; SLAC, SSRL; Saraswat, Krishna C.; Stanford U., Elect. Eng. Dept.

    2005-01-01

    We report the chemical bonding structure and valence band alignment at the HfO 2 /Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using soft x-rays at the Stanford Synchrotron Radiation Laboratory. We investigated the chemical bonding changes as a function of depth through the dielectric stack by taking a series of synchrotron photoemission spectra as we etched through the HfO 2 film using a dilute HF-solution. We found that a very non-stoichiometric GeO x layer exists at the HfO 2 /Ge interface. The valence band spectra near the Fermi level in each different film structure were carefully analyzed, and as a result, the valence band offset between Ge and GeO x was determined to be ΔE v (Ge-GeO x ) = 2.2 ± 0.15 eV, and that between Ge and HfO 2 , ΔE v (Ge-HfO 2 ) = 2.7 ± 0.15 eV

  8. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  9. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  10. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  11. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  12. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  13. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  14. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  15. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  16. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  17. Pressure-induced phase transformation of HfO2

    International Nuclear Information System (INIS)

    Arashi, H.

    1992-01-01

    This paper reports on the pressure dependence of the Raman spectra of HfO 2 that was measured by a micro-Raman technique using a single-crystal specimen in the pressure range from 0 to 10 GPa at room temperature. The symmetry assignment of Raman bands of the monoclinic phase was experimentally accomplished from the polarization measurements for the single crystal. With increased pressure, a phase transformation for the monoclinic phase took place at 4.3 ± 0.3 GPa. Nineteen Raman bands were observed for the high-pressure phase. The spectral structure of the Raman bands for the high-pressure phase was similar with those reported previously for ZrO 2 . The space group for the high pressure phase of HfO 2 was determined as Pbcm, which was the same as that of the high-pressure phase for ZrO 2 on the basis of the number and the spectral structure of the Raman bands

  18. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. High-temperature x-ray diffraction study of HfTiO4-HfO2 solid solutions

    International Nuclear Information System (INIS)

    Carpenter, D.A.

    1975-01-01

    High-temperature x-ray diffraction techniques were used to determine the axial thermal expansion curves of HfTiO 4 -HfO 2 solid solutions as a function of composition. Data show increasing anisotropy with increasing HfO 2 content. An orthorhombic-to-monoclinic phase transformation was detected near room temperature for compositions near the high HfO 2 end of the orthorhombic phase field and for compositions within the two-phase region (HfTiO 4 solid solution plus HfO 2 solid solution). An orthorhombic-to-cubic phase transformation is indicated by data from oxygen-deficient materials at greater than 1873 0 K. (U.S.)

  20. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  1. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  2. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  3. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    International Nuclear Information System (INIS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-01-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO 2 /Ta 2 O 5 charge-trapping layer. In comparison to a memory capacitor with a single HfO 2 trapping layer, the erase speed of a memory capacitor with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔV FB = 4 V, the device with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO 2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application

  4. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  5. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  6. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  7. Issues concerning the determination of solubility products of sparingly soluble crystalline solids. Solubility of HfO2(cr)

    International Nuclear Information System (INIS)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.; Sasaki, Takayuki; Kobayashi, Taishi

    2016-01-01

    Solubility studies were conducted with HfO 2 (cr) solid as a function HCl and ionic strength ranging from 2.0 to 0.004 mol kg -1 . These studies involved (1) using two different amounts of the solid phase, (2) acid washing the bulk solid phase, (3) preheating the solid phase to 1400 C, and (4) heating amorphous HfO 2 (am) suspensions to 90 C to ascertain whether the HfO 2 (am) converts to HfO 2 (cr) and to determine the solubility from the oversaturation direction. Based on the results of these treatments it is concluded that the HfO 2 (cr) contains a small fraction of less crystalline, but not amorphous, material [HfO 2 (lcr)] and this, rather than the HfO 2 (cr), is the solubility-controlling phase in the range of experimental variables investigated in this study. The solubility data are interpreted using both the Pitzer and SIT models and they provide log 10 K 0 values of -(59.75±0.35) and -(59.48±0.41), respectively, for the solubility product of HfO 2 (lcr)[HfO 2 (lcr) + 2H 2 O ↔ Hf 4+ + 4OH - ]. The log 10 of the solubility product of HfO 2 (cr) is estimated to be < -63. The observation of a small fraction of less crystalline higher solubility material is consistent with the general picture that mineral surfaces are often structurally and/or compositionally imperfect leading to a higher solubility than the bulk crystalline solid. This study stresses the urgent need, during interpretation of solubility data, of taking precautions to make certain that the observed solubility behavior for sparingly-soluble solids is assigned to the proper solid phase.

  8. Fabrication of periodic arrays of metallic nanoparticles by block copolymer templates on HfO_2 substrates

    International Nuclear Information System (INIS)

    Frascaroli, Jacopo; Seguini, Gabriele; Spiga, Sabina; Perego, Michele; Boarino, Luca

    2015-01-01

    Block copolymer-based templates can be exploited for the fabrication of ordered arrays of metal nanoparticles (NPs) with a diameter down to a few nanometers. In order to develop this technique on metal oxide substrates, we studied the self-assembly of polymeric templates directly on the HfO_2 surface. Using a random copolymer neutralization layer, we obtained an effective HfO_2 surface neutralization, while the effects of surface cleaning and annealing temperature were carefully examined. Varying the block copolymer molecular weight, we produced regular nanoporous templates with feature size variable between 10 and 30 nm and a density up to 1.5 × 10"1"1 cm"−"2. With the adoption of a pattern transfer process, we produced ordered arrays of Pt and Pt/Ti NPs with diameters of 12, 21 and 29 nm and a constant size dispersion (σ) of 2.5 nm. For the smallest template adopted, the NP diameter is significantly lower than the original template dimension. In this specific configuration, the granularity of the deposited film probably influences the pattern transfer process and very small NPs of 12 nm were achieved without a significant broadening of the size distribution. (paper)

  9. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  10. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    Science.gov (United States)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  11. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    Science.gov (United States)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  12. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  13. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  14. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  15. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  16. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  17. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  18. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  19. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  20. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  1. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  2. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  3. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  4. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  5. Development and Performance Evaluations of HfO2-Si and Rare Earth-Si Based Environmental Barrier Bond Coat Systems for SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming

    2014-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when

  6. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  7. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  8. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    Science.gov (United States)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  9. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  10. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  11. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  12. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    Science.gov (United States)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  13. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications

    International Nuclear Information System (INIS)

    Zhang, Y.; Shao, Y. Y.; Lu, X. B.; Zeng, M.; Zhang, Z.; Gao, X. S.; Zhang, X. J.; Liu, J.-M.; Dai, J. Y.

    2014-01-01

    In this work, we present significant charge trapping memory effects of the metal-hafnium oxide-SiO 2 -Si (MHOS) structure. The devices based on 800 °C annealed HfO 2 film exhibit a large memory window of ∼5.1 V under ±10 V sweeping voltages and excellent charge retention properties with only small charge loss of ∼2.6% after more than 10 4  s retention. The outstanding memory characteristics are attributed to the high density of deep defect states in HfO 2 films. We investigated the defect states in the HfO 2 films by photoluminescence and photoluminescence excitation measurements and found that the defect states distributed in deep energy levels ranging from 1.1 eV to 2.9 eV below the conduction band. Our work provides further insights for the charge trapping mechanisms of the HfO 2 based MHOS devices.

  14. Thermal expansion studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Nagarajan, K.

    2014-01-01

    A series of solid solutions containing GdO 1.5 in HfO 2 , (Hf 1-y Gd y ) O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out using room temperature X-ray diffraction measurements

  15. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    Science.gov (United States)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  16. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  17. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    Science.gov (United States)

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  18. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  19. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  20. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  1. Effects of layer sequence and postdeposition annealing temperature on performance of La2O3 and HfO2 multilayer composite oxides on In0.53Ga0.47As for MOS capacitor application

    Science.gov (United States)

    Wu, Wen-Hao; Lin, Yueh-Chin; Chuang, Ting-Wei; Chen, Yu-Chen; Hou, Tzu-Ching; Yao, Jing-Neng; Chang, Po-Chun; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2014-03-01

    In this paper, we report on high-k composite oxides that are formed by depositing multiple layers of HfO2 and La2O3 on In0.53Ga0.47As for MOS device application. Both multilayer HfO2 (0.8 nm)/La2O3 (0.8 nm)/In0.53Ga0.47As and La2O3 (0.8 nm)/HfO2 (0.8 nm)/In0.53Ga0.47As MOS structures were investigated. The effects of oxide thickness and postdeposition annealing (PDA) temperature on the interface properties of the composite oxide MOS capacitors were studied. It was found that a low CET of 1.41 nm at 1 kHz was achieved using three-layer composite oxides. On the other hand, a small frequency dispersion of 2.8% and an excellent Dit of 7.0 × 1011 cm-2·eV-1 can be achieved using multiple layers of La2O3 (0.8 nm) and HfO2 (0.8 nm) on the In0.53Ga0.47As MOS capacitor with optimum thermal treatment and layer thickness.

  2. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  3. Strain relaxation near high-k/Si interface by post-deposition annealing

    International Nuclear Information System (INIS)

    Emoto, T.; Akimoto, K.; Yoshida, Y.; Ichimiya, A.; Nabatame, T.; Toriumi, A.

    2005-01-01

    We studied the effect of post-deposition annealing on a HfO 2 /Si interface of by extremely asymmetric X-ray diffraction. Comparing the rocking curves before annealing the sample with those of the annealed sample, it is found that an interfacial layer with a density of 3 g/cm 3 grows at the interface between the HfO 2 layer and the substrate during post-deposition annealing. The wavelength dependency of the integrated intensities of the rocking curve for the as-deposited sample fluctuated with the observation position. This fluctuation was suppressed by annealing. From these results we concluded that the strain introduced into the substrate becomes homogeneous by annealing. Moreover, a quantitative estimation of the strain by curve fitting reveals the existence of compressive strain under the HfO 2 layer

  4. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  5. A corresponding states treatment of the liquid-vapor saturation line

    International Nuclear Information System (INIS)

    Srinivasan, K.; Ng, K.C.; Velasco, S.; White, J.A.

    2012-01-01

    Highlights: → Correlations arising from the maxima of products of properties in the coexistence line. → Analysis of maxima along the vapor pressure curve. → Correlations for the maximum of the saturated vapor enthalpy curve. → Prediction of properties of the new low GWP refrigerants HFO 1234yf and HFO 1234ze (E). - Abstract: In this work we analyze correlations for the maxima of products of some liquid-vapor saturation properties. These points define new characteristic properties of each fluid that are shown to exhibit linear correlations with the critical properties. We also demonstrate that some of these properties are well correlated with the acentric factor. An application is made to predict the properties of two new low global warming potential (GWP) refrigerants.

  6. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  7. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  8. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  9. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  10. Environmental Stability and Oxidation Behavior of HfO2-Si and YbGd(O) Based Environmental Barrier Coating Systems for SiCSiC Ceramic Matrix Composites

    Science.gov (United States)

    Zhu, Dongming; Farmer, Serene; McCue, Terry R.; Harder, Bryan; Hurst, Janet B.

    2017-01-01

    Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, environmental durable environmental barrier coating systems. In this paper, the durability and performance of advanced Electron Beam-Physical Vapor Deposition (EB-PVD) NASA HfO2-Si and YbGdSi(O) EBC bond coat top coat systems for SiCSiC CMC have been summarized. The high temperature thermomechanical creep, fatigue and oxidation resistance have been investigated in the laboratory simulated high-heat-flux environmental test conditions. The advanced NASA EBC systems showed promise to achieve 1500C temperature capability, helping enable next generation turbine engines with significantly improved engine component temperature capability and durability.

  11. Interface engineered HfO2-based 3D vertical ReRAM

    International Nuclear Information System (INIS)

    Hudec, Boris; Wang, I-Ting; Lai, Wei-Li; Chang, Che-Chia; Hou, Tuo-Hung; Jančovič, Peter; Fröhlich, Karol; Mičušík, Matej; Omastová, Mária

    2016-01-01

    We demonstrate a double-layer 3D vertical resistive random access memory (ReRAM) stack implementing a Pt/HfO 2 /TiN memory cell. The HfO 2 switching layer is grown by atomic layer deposition on the sidewall of a SiO 2 /TiN/SiO 2 /TiN/SiO 2 multilayer pillar. A steep vertical profile was achieved using CMOS-compatible TiN dry etching. We employ in situ TiN bottom interface engineering by ozone, which results in (a) significant forming voltage reduction which allows for forming-free operation in AC pulsed mode, and (b) non-linearity tuning of low resistance state by current compliance during Set operation. The vertical ReRAM shows excellent read and write disturb immunity between vertically stacked cells, retention over 10 4 s and excellent switching stability at 400 K. Endurance of 10 7 write cycles was achieved using 100 ns wide AC pulses while fast switching speed using pulses of only 10 ns width is also demonstrated. The active switching region was evaluated to be located closer to the bottom interface which allows for the observed high endurance. (paper)

  12. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  13. Enhancement of Endurance in HfO2-Based CBRAM Device by Introduction of a TaN Diffusion Blocking Layer

    KAUST Repository

    Chand, Umesh

    2017-08-05

    We propose a new method to improve resistive switching properties in HfO2 based CBRAM crossbar structure device by introducing a TaN thin diffusion blocking layer between the Cu top electrode and HfO2 switching layer. The Cu/TaN/HfO2/TiN device structure exhibits high resistance ratio of OFF/ON states without any degradation in switching during endurance test. The improvement in the endurance properties of the Cu/TaN/HfO2/TiN CBRAM device is thus attributed to the relatively low amount of Cu migration into HfO2 switching layer.

  14. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  15. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  16. Large Area Deposition of MoS2 by Pulsed Laser Deposition with In-Situ Thickness Control

    KAUST Repository

    Serna, Martha I.

    2016-05-24

    A scalable and catalyst-free method to deposit stoichiometric Molybdenum Disulfide (MoS2) films over large areas is reported with the maximum area limited by the size of the substrate holder. The method allows deposition of MoS2 layers on a wide range of substrates without any additional surface preparation including single crystals (sapphire and quartz), polycrystalline (HfO2), and amorphous (SiO2). The films are deposited using carefully designed MoS2 targets fabricated with excess of sulfur (S) and variable MoS2 and S particle size. Uniform and layered MoS2 films as thin as two monolayers, with an electrical resistivity of 1.54 × 104 Ω cm-1 were achieved. The MoS2 stoichiometry was as confirmed by High Resolution Rutherford Backscattering Spectrometry (HRRBS). With the method reported here, in situ graded MoS2 films ranging from ~1 to 10 monolayers can also be deposited.

  17. Large Area Deposition of MoS2 by Pulsed Laser Deposition with In-Situ Thickness Control

    KAUST Repository

    Serna, Martha I.; Yoo, Seong H.; Moreno, Salvador; Xi, Yang; Oviedo, Juan Pablo; Choi, Hyunjoo; Alshareef, Husam N.; Kim, Moon J.; Minary-Jolandan, Majid; Quevedo-Lopez, Manuel A.

    2016-01-01

    A scalable and catalyst-free method to deposit stoichiometric Molybdenum Disulfide (MoS2) films over large areas is reported with the maximum area limited by the size of the substrate holder. The method allows deposition of MoS2 layers on a wide range of substrates without any additional surface preparation including single crystals (sapphire and quartz), polycrystalline (HfO2), and amorphous (SiO2). The films are deposited using carefully designed MoS2 targets fabricated with excess of sulfur (S) and variable MoS2 and S particle size. Uniform and layered MoS2 films as thin as two monolayers, with an electrical resistivity of 1.54 × 104 Ω cm-1 were achieved. The MoS2 stoichiometry was as confirmed by High Resolution Rutherford Backscattering Spectrometry (HRRBS). With the method reported here, in situ graded MoS2 films ranging from ~1 to 10 monolayers can also be deposited.

  18. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  19. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  20. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  1. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  2. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  3. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  4. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  5. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  6. Flow regime visualization and pressure drops of HFO-1234yf, R-134a and R-410A during downward two-phase flow in vertical return bends

    International Nuclear Information System (INIS)

    Padilla, Miguel; Revellin, Rémi; Wallet, Jérémy; Bonjour, Jocelyn

    2013-01-01

    Highlights: ► Visual observation of two-phase flow regimes during downward flow in a return bend. ► Bubble and vapor slug dynamical behaviors in downward slug flow are reported. ► Perturbation lengths up- and downstream of the return bend have been investigated. ► Measurement of 285 pressure drop data points for HFO-1234yf, R-134a and R-410A. -- Abstract: This paper provides a qualitative visual observation of the two-phase flow patterns for HFO-1234yf and R-134a during downward flow in a vertical 6.7 mm inner diameter glass return bend. The different flow regimes observed are: slug, intermittent and annular flows. Bubble and vapor slug dynamical behaviors in downward slug flow are reported for HFO-1234yf. In addition, to determine the perturbation lengths up- and downstream of the return bend, the total pressure drop has been measured at different pressure tap location up- and downstream of the singularity. Furthermore, 285 pressure drop data points measured for two-phase flow of HFO-1234yf, R-134a and R-410A in vertical downward flow return bends are presented. The flow behavior in the return bend, which is subjected to the complex combined actions of gravity and centrifugal force was expressed in terms of the vapor Froude number. This experimental pressure drop database, which is included in the appendix, is compared to four well-known prediction methods available in the literature

  7. The influence of thermal treatment on the phase development in HfO2-Al2O3 and ZrO2-Al2O3 systems

    International Nuclear Information System (INIS)

    Stefanic, G.; Music, S.; Trojko, R.

    2005-01-01

    Amorphous precursors of HfO 2 -AlO 1.5 and ZrO 2 -AlO 1.5 systems covering the whole concentration range were co-precipitated from aqueous solutions of the corresponding salts. The thermal behaviour of the amorphous precursors was examined by differential thermal analysis, X-ray powder diffraction (XRD), laser Raman spectroscopy and scanning electron microscopy. The crystallization temperature of both systems increased with increase in the AlO 1.5 content, from 530 to 940 deg. C in the HfO 2 -AlO 1.5 system, and from 405 to 915 deg. C in the ZrO 2 -AlO 1.5 system. The results of phase analysis indicate an extended capability for the incorporation of Al 3+ ions in the metastable HfO 2 - and ZrO 2 -type solid solutions obtained after crystallization of amorphous co-gels. Precise determination of lattice parameters, performed using whole-powder-pattern decomposition method, showed that the axial ratio c f /a f in the ZrO 2 - and HfO 2 -type solid solutions with 10 mol% or more of Al 3+ approach 1. The tetragonal symmetry of these samples, as determined by laser Raman spectroscopy, was attributed to the displacement of the oxygen sublattice from the ideal fluorite positions. It was found that the lattice parameters of the ZrO 2 -type solid solutions decreased with increasing Al 3+ content up to ∼10 mol%, whereas above 10 mol%, further increase of the Al 3+ content has very small influence on the unit-cell volume of both HfO 2 - and ZrO 2 -type solid solutions. The reason for such behaviour was discussed. The solubility of Hf 4+ and Zr 4+ ions in the aluminium oxides lattice appeared to be negligible

  8. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  9. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  10. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  11. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  12. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  13. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  14. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  15. Influence of phosphorous precursors on spectroscopic properties of Er3+-activated SiO2-HfO2-P2O5 planar waveguides

    International Nuclear Information System (INIS)

    Vasilchenko, I; Carpentiero, A; Chiappini, A; Chiasera, A; Ferrari, M; Vaccari, A; Lukowiak, A; Righini, G C; Vereshagin, V

    2014-01-01

    (70-x)SiO 2 -30HfO 2 -xP 2 O 5 (x= 5, 10 mol %) glass planar waveguides activated by 0.5 mol% Er 3 + ions were prepared by sol-gel route. Several phosphorous precursors have been investigated for the synthesis of a dielectric stable sol useful for the realization of planar waveguides. The waveguides were investigated by different diagnostic techniques. The optical properties such as refractive index, thickness, number of propagating modes and attenuation coefficient were measured at 632.8 and 543.5 nm by prism coupling technique. Transmission measurements were carried out in order to assess the transparency of the deposited films. Photoluminescence measurements and lifetime decay curves of the Er 3 + transition (4 I 13/2 → 4 I 15/2 ) were performed in order to investigate the role of P 2 O 5

  16. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  17. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  18. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  19. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  20. Properties of phases in HfO2-TiO2 system

    International Nuclear Information System (INIS)

    Red'ko, V.P.; Terekhovskij, P.B.; Majster, I.M.; Shevchenko, A.V.; Lopato, L.M.; Dvernyakova, A.A.

    1990-01-01

    A study was made on axial and linear coefficients of thermal expansion (CTE) of HfO 2 -TiO 2 system samples in concentration range of 25-50 mol% TiO 2 . Samples, containing 35 and 37 mol% TiO 2 , are characterized by the lowest values of linear CTE. Dispersion of the basic substances doesn't affect CTE value. Correlation with axial and linear CTE of samples in ZrO 2 -TiO 2 system was conducted. Presence of anisotropy of change of lattice parameters was supported for samples, containing 37.5 and 40 mol% TiO 2 . Polymorphous transformations for hafnium titanate were not revealed

  1. Theoretical prediction of ion conductivity in solid state HfO2

    Science.gov (United States)

    Zhang, Wei; Chen, Wen-Zhou; Sun, Jiu-Yu; Jiang, Zhen-Yi

    2013-01-01

    A theoretical prediction of ion conductivity for solid state HfO2 is carried out in analogy to ZrO2 based on the density functional calculation. Geometric and electronic structures of pure bulks exhibit similarity for the two materials. Negative formation enthalpy and negative vacancy formation energy are found for YSH (yttria-stabilized hafnia) and YSZ (yttria-stabilized zirconia), suggesting the stability of both materials. Low activation energies (below 0.7 eV) of diffusion are found in both materials, and YSH's is a little higher than that of YSZ. In addition, for both HfO2 and ZrO2, the supercells with native oxygen vacancies are also studied. The so-called defect states are observed in the supercells with neutral and +1 charge native vacancy but not in the +2 charge one. It can give an explanation to the relatively lower activation energies of yttria-doped oxides and +2 charge vacancy supercells. A brief discussion is presented to explain the different YSH ion conductivities in the experiment and obtained by us, and we attribute this to the different ion vibrations at different temperatures.

  2. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  3. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  4. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  5. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  6. Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    International Nuclear Information System (INIS)

    Ogawa, Shingo; Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji; Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi

    2015-01-01

    The thermal diffusion of germanium and oxygen atoms in HfO 2 /GeO 2 /Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that 18 O-tracers composing the GeO 2 underlayers diffuse within the HfO 2 overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO 2 also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO 2 surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO x interlayers between the HfO 2 and GeO 2 layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks

  7. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  8. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  9. First principle simulations on the effects of oxygen vacancy in HfO2-based RRAM

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2015-01-01

    Full Text Available HfO2-based resistive random access memory (RRAM takes advantage of oxygen vacancy (V o defects in its principle of operation. Since the change in resistivity of the material is controlled by the level of oxygen deficiency in the material, it is significantly important to study the performance of oxygen vacancies in formation of conductive filament. Excluding effects of the applied voltage, the Vienna ab initio simulation package (VASP is used to investigate the orientation and concentration mechanism of the oxygen vacancies based on the first principle. The optimal value of crystal orientation [010] is identified by means of the calculated isosurface plots of partial charge density, formation energy, highest isosurface value, migration barrier, and energy band of oxygen vacancy in ten established orientation systems. It will effectively influence the SET voltage, forming voltage, and the ON/OFF ratio of the device. Based on the results of orientation dependence, different concentration models are established along crystal orientation [010]. The performance of proposed concentration models is evaluated and analyzed in this paper. The film is weakly conductive for the samples deposited in a mixture with less than 4.167at.% of V o contents, and the resistive switching (RS phenomenon cannot be observed in this case. The RS behavior improves with an increase in the V o contents from 4.167at.% to 6.25at.%; nonetheless, it is found difficult to switch to a stable state. However, a higher V o concentration shows a more favorable uniformity and stability for HfO2-based RRAM.

  10. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  11. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  12. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    Science.gov (United States)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  13. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  14. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  15. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2016-08-01

    Full Text Available The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  16. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  17. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  18. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  19. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  20. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  1. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  2. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  3. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  4. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  5. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  6. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  7. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  8. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  9. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  10. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  11. Chemical vapor deposition of ZrO{sub 2} thin films using Zr(NEt{sub 2}){sub 4} as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Bastianini, A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Battiston, G.A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Gerbasi, R. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Porchia, M. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Daolio, S. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati]|[CNR, Ist. di Polarografia ed Elettrochimica Preparativa, Padova (Italy)

    1995-06-01

    By using tetrakis(diethylamido) zirconium [Zr(NEt{sub 2}){sub 4}], excellent quality ZrO{sub 2} thin films were deposited with high growth rates on alumina and glass substrates by chemical vapor deposition. The depositions were carried out in a hot wall reactor at reduced pressure (200 Pa) in the temperature range 500-580 C and in the presence of oxygen. The as-grown films are colourless, smooth and well-adherent to the substrates. SIMS analysis evidenced pure ZrO{sub 2} with a slight superficial contamination of hydrocarbons and nitrogen. The films have a tapered polycrystalline columnar structure well visible in SEM micrographs. From X-ray diffraction analysis, the monoclinic phase resulted as the major phase together with a small variable amount of tetragonal zirconia. Under 550 C the as-grown films resulted highly textured and were dominated by the (020) orientation. The films were annealed in the range 600-1000 C and the effect of annealing on the texture and on the phase and dimensions of the crystallites have been studied. (orig.).

  12. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Science.gov (United States)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  13. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Directory of Open Access Journals (Sweden)

    T. S. N. Sales

    2017-05-01

    Full Text Available In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2 nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD, transmission electron microscopy (TEM and scanning electron microscopy (SEM with electron back scattering diffraction (EBSD, and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%.

  14. High temperature X-ray diffraction studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Ananthasivan, K.; Joseph, M.

    2016-01-01

    High temperature X-ray diffraction (HTXRD) technique is an important experimental tool for measuring thermal expansion of materials of interest. A series of solid solutions containing GdO 1.5 in HfO 2 ,Hf 1-y Gd y )O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out by using room temperature X-ray diffraction measurements. The room temperature lattice parameter estimated for (Hf 1-y Gd y )O 2 (y=0.15, 0.2, 0.3, 0.41 and 0.505) are 0.51714 nm, 0.51929 nm, 0.52359nm, 0.52789nm and 0.53241 nm, respectively. Thermal expansion coefficients and percentage linear thermal expansion of the HfO 2 -Gd 2 O 3 solid solutions containing 20 and 41 mol% GdO 1.5 were determined using HTXRD in the temperature range 298 to 1673K. The mean linear thermal expansion coefficients of the solid solutions containing 20 and 41 mol. %Gd are 11.65 x 10 -6 K -1 and 12.07 x 10 -6 K -1 , respectively. (author)

  15. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  16. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  17. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  18. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  19. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  20. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  1. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  2. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  3. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  4. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  5. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  6. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  7. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  8. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  9. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  10. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  11. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  12. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  13. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  14. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  15. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  16. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  17. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  18. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  19. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  20. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  1. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    Science.gov (United States)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  2. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  3. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  4. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  5. The effect of a HfO2 insulator on the improvement of breakdown voltage in field-plated GaN-based HEMT

    International Nuclear Information System (INIS)

    Mao Wei; Hao Yue; Ma Xiao-Hua; Wang Chong; Zhang Jin-Cheng; Liu Hong-Xia; Bi Zhi-Wei; Xu Sheng-Rui; Yang Lin-An; Yang Ling; Zhang Kai; Zhang Nai-Qian; Pei Yi; Yang Cui

    2011-01-01

    A GaN/Al 0.3 Ga 0.7 N/AlN/GaN high-electron mobility transistor utilizing a field plate (with a 0.3 μm overhang towards the drain and a 0.2 μm overhang towards the source) over a 165-nm sputtered HfO 2 insulator (HfO 2 -FP-HEMT) is fabricated on a sapphire substrate. Compared with the conventional field-plated HEMT, which has the same geometric structure but uses a 60-nm SiN insulator beneath the field plate (SiN-FP-HEMT), the HfO 2 -FP-HEMT exhibits a significant improvement of the breakdown voltage (up to 181 V) as well as a record field-plate efficiency (up to 276 V/μm). This is because the HfO 2 insulator can further improve the modulation of the field plate on the electric field distribution in the device channel, which is proved by the numerical simulation results. Based on the simulation results, a novel approach named the proportional design is proposed to predict the optimal dielectric thickness beneath the field plate. It can simplify the field-plated HEMT design significantly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  7. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  8. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  9. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  10. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  11. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  12. Formation and disruption of conductive filaments in a HfO2/TiN structure

    International Nuclear Information System (INIS)

    Brivio, S; Tallarida, G; Cianci, E; Spiga, S

    2014-01-01

    The process of the formation and disruption of nanometric conductive filaments in a HfO 2 /TiN structure is investigated by conductive atomic force microscopy. The preforming state evidences nonhomogeneous conduction at high fields through conductive paths, which are associated with pre-existing defects and develop into conductive filaments with a forming procedure. The disruption of the same filaments is demonstrated as well, according to a bipolar operation. In addition, the conductive tip of the microscopy is exploited to perform electrical operations on single conductive spots, which evidences that neighboring conductive filaments are not electrically independent. We propose a picture that describes the evolution of the shape of the conductive filaments in the processes of their formation and disruption, which involves the development of conductive branches from a common root; this root resides in the pre-existing defects that lay at the HfO 2 /TiN interface. (paper)

  13. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  14. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  15. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  16. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  17. Oxygen source-oriented control of atmospheric pressure chemical vapor deposition of VO2 for capacitive applications

    Directory of Open Access Journals (Sweden)

    Dimitra Vernardou

    2016-06-01

    Full Text Available Vanadium dioxides of different crystalline orientation planes have successfully been fabricated by chemical vapor deposition at atmospheric pressure using propanol, ethanol and O2 gas as oxygen sources. The thick a-axis textured monoclinic vanadium dioxide obtained through propanol presented the best electrochemical response in terms of the highest specific discharge capacity of 459 mAh g-1 with a capacitance retention of 97 % after 1000 scans under constant specific current of 2 A g-1. Finally, the electrochemical impedance spectroscopy indicated that the charge transfer of Li+ through the vanadium dioxide / electrolyte interface was easier for this sample enhancing significantly its capacitance performance.

  18. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  19. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  20. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    Directory of Open Access Journals (Sweden)

    Gabriel González

    2015-01-01

    Full Text Available In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers.

  4. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  5. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  6. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  7. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  8. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  9. A comparative study of amorphous InGaZnO thin-film transistors with HfOxNy and HfO2 gate dielectrics

    International Nuclear Information System (INIS)

    Zou, Xiao; Tong, Xingsheng; Fang, Guojia; Yuan, Longyan; Zhao, Xingzhong

    2010-01-01

    High-κ HfO x N y and HfO 2 films are applied to amorphous InGaZnO (a-IGZO) devices as gate dielectric using radio-frequency reactive sputtering. The electrical characteristics and reliability of a-IGZO metal–insulator–semiconductor (MIS) capacitors and thin-film transistors (TFTs) are then investigated. Experimental results indicate that the nitrogen incorporation into HfO 2 can effectively improve the interface quality and enhance the reliability of the devices. Electrical properties with an interface-state density of 5.2 × 10 11 eV −1 cm −2 , capacitance equivalent thickness of 1.65 nm, gate leakage current density of 3.4 × 10 −5 A cm −2 at V fb +1 V, equivalent permittivity of 23.6 and hysteresis voltage of 110 mV are obtained for an Al/HfO x N y /a-IGZO MIS capacitor. Superior performance of HfO x N y /a-IGZO TFTs has also been achieved with a low threshold voltage of 0.33 V, a high saturation mobility of 12.1 cm 2 V −1 s −1 and a large on–off current ratio up to 7 × 10 7 (W/L = 500/20 µm) at 3 V

  10. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  11. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  12. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  13. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  14. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  15. Electronic excitation induced defect dynamics in HfO2 based MOS devices investigated by in-situ electrical measurements

    Science.gov (United States)

    Manikanthababu, N.; Vajandar, S.; Arun, N.; Pathak, A. P.; Asokan, K.; Osipowicz, T.; Basu, T.; Nageswara Rao, S. V. S.

    2018-03-01

    In-situ I-V and C-V characterization studies were carried out to determine the device quality of atomic layer deposited HfO2 (2.7 nm)/SiO2 (0.6 nm)/Si-based metal oxide semiconductor devices during 120 MeV Ag ion irradiation. The influence of various tunneling mechanisms has been investigated by analyzing the I-V characteristics as a function of ion fluence. The nature of the defects created is tentatively identified by the determination of the significant tunneling processes. While the ion induced annealing of defects is observed at lower fluences, ion induced intermixing and radiation damage is found to be significant at higher fluences. The C-V characteristics also reveal significant changes at the interface and oxide trap densities: an increase in the oxide layer thickness occurs through the formation of an HfSiO interlayer. The interlayer is due to the swift heavy ion induced intermixing, which has been confirmed by X-TEM and X-ray photoelectron spectroscopy measurements.

  16. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  17. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  18. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  19. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  20. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    Science.gov (United States)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  1. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  2. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  3. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  4. One-step immobilization of tris(2,2'-bipyridyl)ruthenium(II) via vapor-surface sol-gel deposition towards solid-state electrochemiluminescence detection

    International Nuclear Information System (INIS)

    Qian Lei; Yang Xiurong

    2008-01-01

    A novel method for immobilization of tris(2,2'-bipyridyl)ruthenium(II) (Ru(bpy) 3 Cl 2 ) on electrode surfaces based on the vapor-surface sol-gel deposition strategy is first demonstrated in this paper. Ru(bpy) 3 Cl 2 immobilized sol-gel (Ru(bpy) 3 Cl 2 /sol-gel) films were characterized by UV-vis spectroscopy and field-emitted scanning electron microscopy (FE-SEM). These results showed that Ru(bpy) 3 Cl 2 was successfully incorporated into the silica sol-gel film. It was found that many irregular Ru(bpy) 3 Cl 2 /sol-gel clusters were formed on surfaces through one deposition and thick sol-gel films were observed after further deposition. Electrochemical properties and electrochemiluminescence (ECL) behaviors of Ru(bpy) 3 Cl 2 /sol-gel films could be easily adjusted by deposition numbers and time. At last, the Ru(bpy) 3 Cl 2 /sol-gel film modified electrode was used for solid-state ECL detection of tripropylamine. The linear range was from 5.8 x 10 -8 to 2.4 x 10 -4 M with the detection limit of 5 nM, which was three orders of magnitude lower than that from pure Nafion-modified electrodes. The ECL sensor also exhibited high stability, and still remained 92% response after being stored in air for 35 days. This method for immobilization of Ru(bpy) 3 Cl 2 is simple, convenient and low-cost relative to others, so it shows promising applications in solid-state ECL detection

  5. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  6. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  7. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  8. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  9. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  10. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  11. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  12. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  13. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    Science.gov (United States)

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  14. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  15. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  16. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  17. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  18. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  19. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  20. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  1. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  2. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  3. Surface modelling on heavy atom crystalline compounds: HfO2 and UO2 fluorite structures

    International Nuclear Information System (INIS)

    Evarestov, Robert; Bandura, Andrei; Blokhin, Eugeny

    2009-01-01

    The study of the bulk and surface properties of cubic (fluorite structure) HfO 2 and UO 2 was performed using the hybrid Hartree-Fock density functional theory linear combination of atomic orbitals simulations via the CRYSTAL06 computer code. The Stuttgart small-core pseudopotentials and corresponding basis sets were used for the core-valence interactions. The influence of relativistic effects on the structure and properties of the systems was studied. It was found that surface properties of Mott-Hubbard dielectric UO 2 differ from those found for other metal oxides with the closed-shell configuration of d-electrons

  4. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  5. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  6. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  7. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  8. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  9. Fabrication of Metal Nanoparticle Arrays in the ZrO2(Y, HfO2(Y, and GeOx Films by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Oleg Gorshkov

    2017-01-01

    Full Text Available The single sheet arrays of Au nanoparticles (NPs embedded into the ZrO2(Y, HfO2(Y, and GeOx (x≈2 films have been fabricated by the alternating deposition of the nanometer-thick dielectric and metal films using Magnetron Sputtering followed by annealing. The structure and optical properties of the NP arrays have been studied, subject to the fabrication technology parameters. The possibility of fabricating dense single sheet Au NP arrays in the matrices listed above with controlled NP sizes (within 1 to 3 nm and surface density has been demonstrated. A red shift of the plasmonic optical absorption peak in the optical transmission spectra of the nanocomposite films (in the wavelength band of 500 to 650 nm has been observed. The effect was attributed to the excitation of the collective surface plasmon-polaritons in the dense Au NP arrays. The nanocomposite films fabricated in the present study can find various applications in nanoelectronics (e.g., single electronics, nonvolatile memory devices, integrated optics, and plasmonics.

  10. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Shahid, Muhammad; Nagaraju, Doddahalli H.; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  11. Surface Passivation of MoO3 Nanorods by Atomic Layer Deposition Towards High Rate Durable Li Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2015-06-03

    We demonstrate an effective strategy to overcome the degradation of MoO3 nanorod anodes in Lithium (Li) ion batteries at high rate cycling. This is achieved by conformal nanoscale surface passivation of the MoO3 nanorods by HfO2 using atomic layer deposition (ALD). At high current density such as 1500 mA/g, the specific capacity of HfO2 coated MoO3 electrodes is 68% higher than bare MoO3 electrodes after 50 charge/discharge cycles. After 50 charge/discharge cycles, HfO2 coated MoO3 electrodes exhibited specific capacity of 657 mAh/g, on the other hand, bare MoO3 showed only 460 mAh/g. Furthermore, we observed that HfO2 coated MoO3 electrodes tend to stabilize faster than bare MoO3 electrodes because nanoscale HfO2 layer prevents structural degradation of MoO3 nanorods. Additionally, the growth temperature of MoO3 nanorods and the effect of HfO2 layer thickness was studied and found to be important parameters for optimum battery performance. The growth temperature defines the microstructural features and HfO2 layer thickness defines the diffusion coefficient of Li–ions through the passivation layer to the active material. Furthermore, ex–situ HRTEM, X–ray photoelectron spectroscopy (XPS), Raman spectroscopy and X–ray diffraction was carried out to explain the capacity retention mechanism after HfO2 coating.

  12. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  13. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  14. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  15. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  16. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  17. Coexistence of different charge states in Ta-doped monoclinic HfO2: Theoretical and experimental approaches

    DEFF Research Database (Denmark)

    Taylor, M.A.; Alonso, R.E.; Errico, L.A.

    2010-01-01

    A combination of experiments and ab initio quantum-mechanical calculations has been applied to examine hyperfine interactions in Ta-doped hafnium dioxide. Although the properties of monoclinic HfO2 have been the subject of several earlier studies, some aspects remain open. In particular, time dif...

  18. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  19. Synthesis of freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition (CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Subash; Jaisi, Balaram Paudel; Sharma, Kamal Prasad; Ibrahim Araby, Mona; Kalita, Golap; Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Nagoya (Japan)

    2018-01-15

    In this work, we report the synthesis of two new forms of WS{sub 2} nanostructures - freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition. It is observed that dislocation-driven growth causes WS{sub 2} crystals to grow and merge in both vertical and horizontal directions to form the pyramidal tree. During the formation of WS{sub 2} fibers, the presence of two-step growth was demonstrated. It is observed that sulphurization of WO{sub 3} nanoparticle leads to formation of WS{sub 2} rod in the first stage, followed by second stage in which selective growth causes some WS{sub 2} layers grow faster compared to other ones leading to the formation of fibrous WS{sub 2} structure. Fibers synthesized by our reported method have highly exposed WS{sub 2} layers which can demonstrate interesting catalytic and edge related properties or can be functionalized for future applications. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  1. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  2. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  3. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  4. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  5. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  6. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  8. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  9. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  10. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  11. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  12. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  13. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  14. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  15. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  16. Photoelectrochemical energy conversion obtained with ultrathin organo-metallic-chemical-vapor-deposition layer of FeS2 (pyrite) on TiO2

    International Nuclear Information System (INIS)

    Ennaoui, A.; Fiechter, S.; Tributsch, H.; Giersig, M.; Vogel, R.; Weller, H.

    1992-01-01

    Ultrathin (10 to 20 nm thick), polycrystalline films of FeS 2 (pyrite) were grown on TiO 2 (anatase) by chemical vapor deposition. The FeS 2 films were characterized using optical absorption and high-resolution electron microscopy. Photoelectrochemical solar cells, using TiO 2 (anatase) coated with FeS 2 ultrathin films, generated high open-circuit photo-voltages, of up to 600 mV, compared with a single crystal of pyrite electrode (200 mV). The photoelectrochemical behavior shows a strong dependence of photovoltage and photocurrent on the pH of the solution. This paper reports that it is explained by electron injection from the conduction band of FeS 2 to the conduction band of TiO 2 . Regeneration of holes is taking place by electron transfer from the redox system in the electrolyte

  17. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  18. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  19. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  20. H2-dependent attachment kinetics and shape evolution in chemical vapor deposition graphene growth

    Science.gov (United States)

    Meca, Esteban; Shenoy, Vivek B.; Lowengrub, John

    2017-09-01

    Experiments on graphene growth through chemical vapor deposition (CVD) involving methane (CH4) and hydrogen (H2) gases reveal a complex shape evolution and a non-monotonic dependence on the partial pressure of H2 ({{p}{{\\text{H}2}}} ). To explain these intriguing observations, we develop a microkinetic model for the stepwise decomposition of CH4 into mobile radicals and consider two possible mechanisms of attachment to graphene crystals: CH radicals to hydrogen-decorated edges of the crystals and C radicals to bare crystal edges. We derive an effective mass flux and an effective kinetic coefficient, both of which depend on {{p}{{\\text{H}2}}} , and incorporate these into a phase field model. The model reproduces both the non-monotonic dependence on {{p}{{\\text{H}2}}} and the characteristic shapes of graphene crystals observed in experiments. At small {{p}{{\\text{H}2}}} , growth is limited by the kinetics of attachment while at large {{p}{{\\text{H}2}}} growth is limited because the effective mass flux is small. We also derive a simple analytical model that captures the non-monotone behavior, enables the two mechanisms of attachment to be distinguished and provides guidelines for CVD growth of defect-free 2D crystals.

  1. MOHOS-type memory performance using HfO2 nanoparticles as charge trapping layer and low temperature annealing

    International Nuclear Information System (INIS)

    Molina, Joel; Ortega, Rafael; Calleja, Wilfrido; Rosales, Pedro; Zuniga, Carlos; Torres, Alfonso

    2012-01-01

    Highlights: ► HfO 2 nanoparticles used as charge trapping layer in MOHOS memory devices. ► Increasing HfO 2 nanoparticles concentration enhances charge injection and trapping. ► Enhancement of memory performance with low temperature annealing. ► Charge injection is done without using any hot-carrier injection mechanism. ► Using injected charge density is better for comparison of scaled memory devices. - Abstract: In this work, HfO 2 nanoparticles (np-HfO 2 ) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal–oxide–high-k–oxide–silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol–gel spin coating method after using different concentrations of np-HfO 2 and low temperature annealing (down to 425 °C) in order to obtain charge–retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO 2 concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO 2 as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.

  2. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  3. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  4. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  5. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  6. Qualification of a sublimation tool applied to the case of metalorganic chemical vapor deposition of In{sub 2}O{sub 3} from In(tmhd){sub 3} as a solid precursor

    Energy Technology Data Exchange (ETDEWEB)

    Szkutnik, P. D., E-mail: pierre.szkutnik@cea.fr; Jiménez, C. [Université Grenoble Alpes, CNRS, LMGP, 3 Parvis Louis Néel, Minatec CS 50257, 38016 Grenoble Cedex 1 (France); Angélidès, L.; Todorova, V. [Air Liquide Electronics Systems, 8 rue des Méridiens–Sud Galaxie BP 228, 38433 Échirolles Cedex (France)

    2016-02-15

    A solid delivery system consisting of a source canister, a gas management, and temperature controlled enclosure designed and manufactured by Air Liquide Electronics Systems was tested in the context of gas-phase delivery of the In(tmhd){sub 3} solid precursor. The precursor stream was delivered to a thermal metalorganic chemical vapor deposition reactor to quantify deposition yield under various conditions of carrier gas flow and sublimation temperature. The data collected allowed the determination of characteristic parameters such as the maximum precursor flow rate (18.2 mg min{sup −1} in specified conditions) and the critical mass (defined as the minimum amount of precursor able to attain the maximum flow rate) found to be about 2.4 g, as well as an understanding of the influence of powder distribution inside the canister. Furthermore, this qualification enabled the determination of optimal delivery conditions which allowed for stable and reproducible precursor flow rates over long deposition times (equivalent to more than 47 h of experiment). The resulting In{sub 2}O{sub 3} layers was compared with those elaborated via pulsed liquid injection obtained in the same chemical vapor deposition chamber and under the same deposition conditions.

  7. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  8. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  9. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  10. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  11. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  12. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  13. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  14. Chemical Vapor Deposition Growth of Degenerate p-Type Mo-Doped ReS2 Films and Their Homojunction.

    Science.gov (United States)

    Qin, Jing-Kai; Shao, Wen-Zhu; Xu, Cheng-Yan; Li, Yang; Ren, Dan-Dan; Song, Xiao-Guo; Zhen, Liang

    2017-05-10

    Substitutional doping of transition metal dichalcogenide two-dimensional materials has proven to be effective in tuning their intrinsic properties, such as band gap, transport characteristics, and magnetism. In this study, we realized substitutional doping of monolayer rhenium disulfide (ReS 2 ) with Mo via chemical vapor deposition. Scanning transmission electron microscopy demonstrated that Mo atoms are successfully doped into ReS 2 by substitutionally replacing Re atoms in the lattice. Electrical measurements revealed the degenerate p-type semiconductor behavior of Mo-doped ReS 2 field effect transistors, in agreement with density functional theory calculations. The p-n diode device based on a doped ReS 2 and ReS 2 homojunction exhibited gate-tunable current rectification behaviors, and the maximum rectification ratio could reach up to 150 at V d = -2/+2 V. The successful synthesis of p-type ReS 2 in this study could largely promote its application in novel electronic and optoelectronic devices.

  15. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    Science.gov (United States)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  16. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  17. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  18. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  19. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  20. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  1. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  2. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  3. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  4. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  5. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  6. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    Science.gov (United States)

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  7. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  8. Role of defects in tuning the electronic properties of monolayer WS{sub 2} grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jie; Zheliuk, Oleksandr; Lu, Jianming; Ye, Jianting [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Gordiichuk, Pavlo [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Department of Chemistry, Northwestern University, Evanston, IL (United States); Herrmann, Andreas [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Molecular Biophysics, Department of Biology, Humboldt-Universitaet Berlin (Germany)

    2017-10-15

    Two-dimensional transition metal dichalcogenides have already attracted enormous research interest. To understand the dependence of electronic properties on the quality and defect morphology is vital for synthesizing high quality materials and the realization of functional devices. Here, we demonstrate the mapping of the conductive variations by conducting atomic force microscopy (C-AFM) in the monolayer tungsten disulfide (WS{sub 2}) grown by chemical vapor deposition. The electronic properties are strongly affected by the formation of vacancies in monolayer WS{sub 2} during growth, which is also verified by the photoluminescence. This spatial study of defects provides opportunities for optimization of the growth process for enhancing devices performance of TMDs monolayers. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  10. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  11. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  12. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  13. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  14. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  16. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  17. Electrical conductivity and oxygen exchange kinetics of La2NiO4+delta thin films grown by chemical vapor deposition

    DEFF Research Database (Denmark)

    Garcia, G.; Burriel, M.; Bonanos, Nikolaos

    2008-01-01

    Epitaxial c-axis oriented La2NiO4+delta films were deposited onto SrTiO3 and NdGaO3 substrates by the pulsed injection metal organic chemical vapor deposition technique. Experimental conditions were optimized in order to accurately control the composition, thickness, and texture of the layers. X......-ray diffraction was used to confirm the high crystalline quality of the obtained material. Electrical characterizations were performed on thin (50 nm) and thick (335 nm) layers. The total specific conductivity, which is predominantly electronic, was found to be larger for the thinner films measured (50 nm......), probably due to the effect of the strain present in the layers. Those thin films (50 nm) showed values even larger than those observed for single crystals and, to our knowledge, are the largest conductivity values reported to date for the La2NiO4+delta material. The oxygen exchange kinetics was studied...

  18. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  19. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  20. A comparison of nodular defect seed geometeries from different deposition techniques

    International Nuclear Information System (INIS)

    Stolz, C.J.; Tench, R.J.; Kozlowski, M.R.; Fornier, A.

    1995-01-01

    A focused ion-beam milling instrument commonly utilized in the semiconductor industry for failure analysis and IC repair, is capable of cross-sectioning nodular defects. Utilizing the instrument's scanning on beam, high-resolution imaging of the seeds that initiate nodular defect growth is possible. In an attempt to understand the origins of these seeds, HfO 2 /SiO 2 and Ta 2 O 5 /SiO 2 coatings were prepared by a variety of coating vendors and different deposition processes including e-beam, magnetron sputtering, and ion beam sputtering. By studying the shape, depth, and composition of the seed, inferences of its origin can be drawn. The boundaries between the nodule and thin film provide insight into the mechanical stability of the nodule. Significant differences in the seed composition, geometry of nodular growth and mechanical stability of the defects for sputtered versus e-beam coatings are reported. Differences in seed shape were also observed from different coating vendors using e-beam deposition of HfO 2 /SiO 2 coatings

  1. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  2. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  3. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO 3 and HfO 2 are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO 3 and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO 3 is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO 3 on germanium, germanate formation is shown. LaLuO 3 is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO 3 in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO 3 and HfO 2 . Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO 2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO 2 . The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the gate electrode to decrease the EOT of HfO 2 gate stacks

  4. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  5. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  6. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  7. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  8. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  9. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  10. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  11. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical–chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; Lee, Namhoon; Wolak, Matthäus A.; Tan, Teng; Welander, Paul B.; Franzi, Matthew; Tantawi, Sami; Kustom, Robert L.

    2017-02-16

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20–25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical–chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg–Cu alloy layer with occasional intrusion of Mg–Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.

  12. Growth of magnesium diboride films on 2 inch diameter copper discs by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Withanage, Wenura K.; Xi, X. X.; Nassiri, Alireza; Lee, Namhoon; Wolak, Matthäus A.; Tan, Teng; Welander, Paul B.; Franzi, Matthew; Tantawi, Sami; Kustom, Robert L.

    2017-04-01

    Magnesium diboride (MgB2) coating is a potential candidate to replace bulk niobium (Nb) for superconducting radio frequency cavities due to the appealing superconducting properties of MgB2. MgB2 coating on copper may allow cavity operation near 20-25 K as a result of the high transition temperature (T c) of MgB2 and excellent thermal conductivity of Cu. We have grown MgB2 films on 2 inch diameter Cu discs by hybrid physical-chemical vapor deposition for radio frequency characterization. Structural and elemental analyses showed a uniform MgB2 coating on top of a Mg-Cu alloy layer with occasional intrusion of Mg-Cu alloy regions. High T c values of around 37 K and high critical current density (J c) on the order of 107 A cm-2 at zero field were observed. Radio frequency measurements at 11.4 GHz confirmed a high T c and showed a quality factor (Q 0) much higher than for Cu and close to that of Nb.

  13. Synthesis of MoS{sub 2} ribbons and their branched structures by chemical vapor deposition in sulfur-enriched environment

    Energy Technology Data Exchange (ETDEWEB)

    Mahyavanshi, Rakesh D., E-mail: rmahyavanshi@gmail.com [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Sharma, Kamal P. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kondo, Masuharu; Dewa, Takeshita [Department of Life Science and Applied Chemistry, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kawahara, Toshio [Department of Electronics and Information Engineering, Chubu University, 1200 Matsumoto-cho, Kasugai 487-8501 (Japan); Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-07-01

    Highlights: • We demonstrate synthesis of monolayer MoS{sub 2} ribbons and their branched structures. • Unidirectional, bi and tri-directional growth of ribbons from the nucleation point are obtained. • Unidirectional and other branched structures can be synthesized controlling the composition of MoO{sub 3} and sulfur vapor. • The ribbons possess uneven edge structures with angles of 60° and 120°, indicating molybdenum and sulfur terminations. - Abstract: Here, we demonstrate the synthesis of monolayer molybdenum disulfide (MoS{sub 2}) ribbons and their branched structures by chemical vapor deposition (CVD) in sulfur-enriched environment. The growth of the MoS{sub 2} ribbons, triangular and other crystals significantly depends on the exposure of sulfur and concentration of molybdenum oxide (MoO{sub 3}) vapor on the substrate surface. The width and length of the synthesized ribbons is around 5–10 and 50–100 μm, respectively, where the width reduces from the nucleation point toward the end of the ribbon. Unidirectional, bi and tri-directional growth of ribbons from the nucleation point with an angle of 60° and 120° were obtained attributing to crystallographic growth orientation of MoS{sub 2} crystals. The directional growth of dichalcogenides ribbons is a significant challenge, our process shows that such unidirectional and other branched structures can be achieved by controlling the stoichiometric composition of MoO{sub 3} and sulfur exposure on the substrate surface. Interestingly, all the individual and branched ribbons possess uneven abundant edge structures, where the edges are formed with angles of 60° and 120°, indicating variation in molybdenum and sulfur edge terminations. The directional growth of MoS{sub 2} ribbons with defined edge structures in particular CVD condition can open up new possibilities for electronic and electrochemical applications.

  14. A Temperature Window for the Synthesis of Single-Walled Carbon Nanotubes by Catalytic Chemical Vapor Deposition of CH4over Mo2-Fe10/MgO Catalyst

    Directory of Open Access Journals (Sweden)

    Yu Ouyang

    2009-01-01

    Full Text Available Abstract A temperature window for the synthesis of single-walled carbon nanotubes by catalytic chemical vapor deposition of CH4over Mo2-Fe10/MgO catalyst has been studied by Raman spectroscopy. The results showed that when the temperature is lower than 750 °C, there were few SWCNTs formed, and when the temperature is higher than 950 °C, mass amorphous carbons were formed in the SWCNTs bundles due to the self-decomposition of CH4. The temperature window of SWCNTs efficient growth is between 800 and 950 °C, and the optimum growth temperature is about 900 °C. These results were supported by transmission electron microscope images of samples formed under different temperatures. The temperature window is important for large-scale production of SWCNTs by catalytic chemical vapor deposition method.

  15. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  16. Effects of temperature, pressure and pure copper added to source material on the CuGaTe{sub 2} deposition using close spaced vapor transport technique

    Energy Technology Data Exchange (ETDEWEB)

    Abounachit, O. [LP2M2E, Faculté des Sciences et Techniques, Université Cadi Ayyad, Gueliz, BP 549 , Marrakech, Maroc (Morocco); Chehouani, H., E-mail: chehouani@hotmail.fr [LP2M2E, Faculté des Sciences et Techniques, Université Cadi Ayyad, Gueliz, BP 549 , Marrakech, Maroc (Morocco); Djessas, K. [CNRS-PROMES Tecnosud, Rambla de la Thermodynamique, 66100 Perpignan (France)

    2013-07-01

    The quality of CuGaTe{sub 2} (CGT) thin films elaborated by close spaced vapor transport technique has been studied as a function of the source temperature (T{sub S}), iodine pressure (P{sub I2}) and the amount (X{sub Cu}) of pure copper added to the stoichiometric starting material. A thermodynamic model was developed for the Cu–Ga–Te–I system to describe the CGT deposition. The model predicts the solid phase composition with possible impurities for the operating conditions previously mentioned. The conditions of stoichiometric and near-stoichiometric deposition were determined. The value of T{sub S} must range from 450 to 550 °C for P{sub I2} varying between 0.2 and 7 kPa. Adding an amount up to 10% of pure copper to the starting material improves the quality of the deposit layers and lowers the operating interval temperature to 325–550 °C. These optimal conditions were tested experimentally at 480 °C and 500 °C. The X-ray diffraction, scanning electron microscopy, and energy dispersive spectroscopy have proved that the addition of pure copper to the stoichiometric source material can be considered as a supplementary operating parameter to improve the quality of CGT thin films. - Highlights: • The stoichiometric CuGaTe{sub 2} (CGT) has been deposited by close spaced vapor transport. • The Cu–Ga–Te–I system has been studied theoretically by minimizing the Gibbs energy. • The quality of thin films has been improved by pure copper added to the source CGT. • The temperature, pressure and the amount of copper added to grow CGT are determined. • The thermodynamic predictions are in good agreement with experimental results.

  17. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    Science.gov (United States)

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  18. Effect of current compliance and voltage sweep rate on the resistive switching of HfO2/ITO/Invar structure as measured by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, You-Lin; Liao, Chun-Wei; Ling, Jing-Jenn

    2014-01-01

    The electrical characterization of HfO 2 /ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO 2 surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO 2 /ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates. It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.

  19. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    Science.gov (United States)

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  20. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  1. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  2. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  3. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  4. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  5. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  6. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  7. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  8. Critical Parameters and Critical-Region (p,ρ ,T) Data of trans-1,1,1,3-Tetrafluorobut-2-ene [HFO-1354mzy(E)

    Science.gov (United States)

    Kimura, Takeru; Kayukawa, Yohei; Miyamoto, Hiroyuki; Saito, Kiyoshi

    2017-08-01

    This study presents the experimental measurement of the pρ T properties and critical parameters of a low GWP type refrigerant, trans-1,1,1,3-Tetrafluorobut-2-ene (HFO-1354mzy(E)). The sample purity of the substance was 99 area %. p ρ T property measurements and visual observations of the meniscus of HFO-1354mzy(E) were carried out using a metal-bellows volumometer with an optical cell. The critical temperature was determined by observation of the critical opalescence. The critical pressure and critical density were determined as the inflection point of the isothermal p ρ T property data at the critical temperature. For more precise clarification of the thermodynamic surface in the vicinity of the critical point, additional p ρ T property measurements were carried out on three isotherms in the supercritical region. The expanded uncertainties (k = 2) in the temperature, pressure, and density measurements were estimated to be less than 3 mK, 1.2 kPa, and 0.32 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. The expanded uncertainties of the critical parameters were estimated to be less than 13 mK, 1.4 kPa, and 2.3 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. These values are the first reported for HFO-1354mzy(E) and are necessary for the development of its equation of state in the near future.

  9. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  10. Double-ceramic-layer thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/La{sub 2}Ce{sub 2}O{sub 7} deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Z.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); He, L.M., E-mail: he_limin@yahoo.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Mu, R.D.; He, S.M.; Huang, G.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao, X.Q., E-mail: xcao@ciac.jl.cn [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-03-15

    Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and La{sub 2}Ce{sub 2}O{sub 7} (LC) were deposited by electron beam-physical vapor deposition (EB-PVD). The composition, interdiffusion, surface and cross-sectional morphologies, cyclic oxidation behavior of DCL coating were studied. Energy dispersive spectroscopy and X-ray diffraction analyses indicate that both LZ7C3 and LC coatings are effectively fabricated by a single LZ7C3 ingot with properly controlling the deposition energy. The chemical compatibility of LC coating and thermally grown oxide (TGO) layer is unstable. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} which is the main composition of TGO layer. Additionally, the thermal cycling behavior of DCL coating is influenced by the interdiffusion of Zr and Ce between LZ7C3 and LC coatings. The failure of DCL coating is a result of the sintering of LZ7C3 coating surface, the chemical incompatibility of LC coating and TGO layer and the abnormal oxidation of bond coat. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL coating is an important development direction of TBCs.

  11. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  12. Impacts of the Degradation of 2,3,3,3-Tetrafluoropropene into Trifluoroacetic Acid from Its Application in Automobile Air Conditioners in China, the United States, and Europe.

    Science.gov (United States)

    Wang, Ziyuan; Wang, Yuhang; Li, Jianfeng; Henne, Stephan; Zhang, Boya; Hu, Jianxin; Zhang, Jianbo

    2018-03-06

    HFO-1234yf (2,3,3,3-tetrafluoropropene) was proposed as an automobile air conditioner (MAC) refrigerant worldwide. However, its atmospheric degradation product is the highly soluble and phytotoxic trifluoroacetic acid (TFA), which persists in aquatic environments. We used a global three-dimensional chemical transport model to assess the potential environmental effects resulting from complete future conversion of the refrigerant in all MAC to HFO-1234yf in China, the United States, and Europe. The annual mean atmospheric concentrations of HFO-1234yf were 2.62, 2.20, and 2.73 pptv, and the mean deposition rates of TFA were 0.96, 0.45, and 0.52 kg km -2 yr -1 , in three regions. The regional TFA deposition sources mainly came from emissions within the same region. The annual TFA deposition in the North Pole region was lower than the global average and mainly originated from European emissions. A potential doubling in the future HFO-1234yf emissions in China mainly affected the local TFA depositions. The TFA concentrations in rainwater were strongly affected by the regional precipitation rates. North Africa and the Middle East, regions with scant rainfall, had extremely high TFA concentrations. The rainwater concentrations of TFA during individual rain events can exceed the level considered to be safe, indicating substantial potential regional risks from future HFO-1234yf use.

  13. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  14. Extended high-frequency partial liquid ventilation in lung injury: gas exchange, injury quantification, and vapor loss.

    Science.gov (United States)

    Doctor, Allan; Al-Khadra, Eman; Tan, Puay; Watson, Kenneth F; Diesen, Diana L; Workman, Lisa J; Thompson, John E; Rose, Charles E; Arnold, John H

    2003-09-01

    High-frequency oscillatory ventilation with perflubron (PFB) reportedly improves pulmonary mechanics and gas exchange and attenuates lung injury. We explored PFB evaporative loss kinetics, intrapulmonary PFB distribution, and dosing strategies during 15 h of high-frequency oscillation (HFO)-partial liquid ventilation (PLV). After saline lavage lung injury, 15 swine were rescued with high-frequency oscillatory ventilation (n = 5), or in addition received 10 ml/kg PFB delivered to dependent lung [n = 5, PLV-compartmented (PLV(C))] or 10 ml/kg distributed uniformly within the lung [n = 5, PLV(U)]. In the PLV(C) group, PFB vapor loss was replaced. ANOVA revealed an unsustained improvement in oxygenation index in the PLV(U) group (P = 0.04); the reduction in oxygenation index correlated with PFB losses. Although tissue myeloperoxidase activity was reduced globally by HFO-PLV (P PFB distribution optimized gas exchange during HFO-PLV; additionally, monitoring PFB evaporative loss appears necessary to stabilize intrapulmonary PFB volume.

  15. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  16. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  17. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  18. Photoelectrochemical energy conversion obtained with ultrathin organo-metallic-chemical-vapor-deposition layer of FeS[sub 2] (pyrite) on TiO[sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Fiechter, S.; Tributsch, H. (Abt. Solare Energetik, Hahn-Meitner-Inst., D-1000 Berlin 39 (Germany)); Giersig, M.; Vogel, R.; Weller, H. (Abt. Photochemie, Hahn-Meitner-Inst., D-1000 Berlin 39 (Germany))

    1992-09-01

    Ultrathin (10 to 20 nm thick), polycrystalline films of FeS[sub 2] (pyrite) were grown on TiO[sub 2] (anatase) by chemical vapor deposition. The FeS[sub 2] films were characterized using optical absorption and high-resolution electron microscopy. Photoelectrochemical solar cells, using TiO[sub 2] (anatase) coated with FeS[sub 2] ultrathin films, generated high open-circuit photo-voltages, of up to 600 mV, compared with a single crystal of pyrite electrode (200 mV). The photoelectrochemical behavior shows a strong dependence of photovoltage and photocurrent on the pH of the solution. This paper reports that it is explained by electron injection from the conduction band of FeS[sub 2] to the conduction band of TiO[sub 2]. Regeneration of holes is taking place by electron transfer from the redox system in the electrolyte.

  19. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  20. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  1. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  2. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  3. A Numerical Study of Spray Characteristics in Medium Speed Engine Fueled by Different HFO/n-Butanol Blends

    Directory of Open Access Journals (Sweden)

    Hashem Nowruzi

    2014-01-01

    Full Text Available In the present study, nonreacting and nonevaporating spray characteristics of heavy fuel oil (HFO/n-butanol blends are numerically investigated under two different high pressure injections in medium speed engines. An Eulerian-Lagrangian multiphase scheme is used to simulate blend of C14H30 as HFO and 0%, 10%, 15%, and 20% by volume of n-butanol. OpenFOAM CFD toolbox is modified and implemented to study the effect of different blends of HFO/n-butanol on the spray characteristics at 600 and 1000 bar. To validate the presented simulations, current numerical results are compared against existing experimental data and good compliance is achieved. Based on the numerical findings, addition of n-butanol to HFO increases the particles volume in parcels at 600 bar. It was also found that blend fuels increase the number of spray particles and the average velocity of spray compared to pure HFO. Moreover, under injection pressure of 1000 bar, HFO/n-butanol blends compared to pure HFO fuel decrease particles volume in parcels of spray. Another influence of HFO/n-butanol blends is the decrease in average of particles diameter in parcels. Meanwhile, the effect of HFO/n-butanol on spray length is proved to be negligible. Finally, it can be concluded that higher injection pressure improves the spray efficiency.

  4. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  5. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  6. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  7. HFO operation with CR injection

    Energy Technology Data Exchange (ETDEWEB)

    Poensgen, Christian [MAN-Diesel und Turbo SE, Augsburg (Germany)

    2011-07-01

    In 1996 MAN Diesel and Turbo SE started the development of a CR-system for medium speed engines for HFO operation up to fuel viscosity of 700 cSt. 2004 the first field test engine, a 7L 32/40 GenSet was put into service as a retrofit and collected up to now more than 20.000 running hours operated on HFO on a large container vessel. Meanwhile several L32/40 CR GenSets, L32/44 CR, V48/60 CR and L21/31 CR engines collected more than 100000 running hours in HFO operation before MAN Diesel started up the serial production of the new 32/44 CR and 48/60 CR engines. All of these engines are still in service. The paper will give an overview about the field experience and countermeasures which were necessary to develop a reliable product which fulfills the customers' demands concerning low fuel oil consumption, invisible smoke over the whole load range, low emission levels and maintenance costs. The experience was made in a wide range of applications such as GenSet, Cruise Vessel main propulsion and ferry main propulsion running 24h/day. The field test engines reached an availability of more than 90% per year. The paper also will point out the win/win situation for the the manufacturer and customer to participate in the development of the CR technology. For customers satisfaction MAN Diesel provides help for easy handling like online access per satellite connection, easy leakage detection and operator training at site or at the new built academies. The flexibility of the CR-system is the base frame for the future development of engines which fulfills IMO TIER II and IMO TIER III with high efficiency. The necessary reliability, a must, has been proven in the field under real conditions. (orig.)

  8. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    International Nuclear Information System (INIS)

    Li Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-01-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al 2 O 3 , ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al 2 O 3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  9. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  10. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  11. Analysis of mechanical properties of N2in situ doped polycrystalline 3C-SiC thin films by chemical vapor deposition using single-precursor hexamethyildisilane

    International Nuclear Information System (INIS)

    Kim, Kang-San; Han, Ki-Bong; Chung, Gwiy-Sang

    2010-01-01

    This paper describes the mechanical properties of poly (polycrystalline) 3C-SiC thin films with N 2 in situ doping. In this work, in situ doped poly 3C-SiC film was deposited by using the atmospheric pressure chemical vapor deposition (APCVD) method at 1200 deg. C using single-precursor hexamethyildisilane: Si 2 (CH 3 ) 6 (HMDS) as Si and C precursors, and 0∼100 sccm N 2 as the dopant source gas. The mechanical properties of doped poly 3C-SiC thin films were measured by nano-indentation. Young's modulus and hardness were measured to be 285 and 35 GPa at 0 sccm N 2 , respectively. Young's modulus and hardness decreased with increasing N 2 flow rate. Surface morphology was evaluated by atomic force microscopy (AFM) according to N 2 flow rate.

  12. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  13. Metallic 1T phase source/drain electrodes for field effect transistors from chemical vapor deposited MoS{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Kappera, Rajesh; Voiry, Damien; Jen, Wesley; Acerce, Muharrem; Torrel, Sol; Chhowalla, Manish, E-mail: manish1@rci.rutgers.edu [Materials Science and Engineering, Rutgers University, 607 Taylor Road, Piscataway, New Jersey 08854 (United States); Yalcin, Sibel Ebru; Branch, Brittany; Gupta, Gautam; Mohite, Aditya D. [MPA-11 Materials Synthesis and Integrated Devices, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Lei, Sidong; Chen, Weibing; Najmaei, Sina; Lou, Jun; Ajayan, Pulickel M. [Mechanical Engineering and Materials Science Department, Rice University, Houston, Texas 77005 (United States)

    2014-09-01

    Two dimensional transition metal dichalcogenides (2D TMDs) offer promise as opto-electronic materials due to their direct band gap and reasonably good mobility values. However, most metals form high resistance contacts on semiconducting TMDs such as MoS{sub 2}. The large contact resistance limits the performance of devices. Unlike bulk materials, low contact resistance cannot be stably achieved in 2D materials by doping. Here we build on our previous work in which we demonstrated that it is possible to achieve low contact resistance electrodes by phase transformation. We show that similar to the previously demonstrated mechanically exfoliated samples, it is possible to decrease the contact resistance and enhance the FET performance by locally inducing and patterning the metallic 1T phase of MoS{sub 2} on chemically vapor deposited material. The device properties are substantially improved with 1T phase source/drain electrodes.

  14. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  15. Direct production of carbon nanofibers decorated with Cu2O by thermal chemical vapor deposition on Ni catalyst electroplated on a copper substrate

    Directory of Open Access Journals (Sweden)

    MA Vesaghi

    2012-12-01

    Full Text Available  Carbon nanofibers (CNFs decorated with Cu2O particles were grown on a Ni catalyst layer deposited on a Cu substrate by thermal. chemical vapor deposition from liquid petroleum gas. Ni catalyst nanoparticles with different sizes were produced in an electroplating system at 35˚C. These nanoparticles provide the nucleation sites for CNF growth, removing the need for a buffer layer. High temperature surface segregation of the Cu substrate into the Ni catalyst layer and its exposition to O2 at atmospheric environment, during the CNFs growth, lead to the production of CNFs decorated with Cu2O particles. The surface morphology of the Ni catalyst films and grown CNFs over it was studied by scanning electron microscopy. Transmission electron microscopy and Raman spectroscopy revealed the formation of CNFs. The selected area electron diffraction pattern and electron diffraction studies show that these CNFs were decorated with Cu2O nanoparticles.

  16. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  17. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  18. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  19. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  20. Surface termination structure of α-Ga{sub 2}O{sub 3} film grown by mist chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamba, Daiki; Kubo, Osamu, E-mail: okubo@eei.eng.osaka-u.ac.jp; Osaka, Shun; Takahashi, Kazuki; Tabata, Hiroshi; Katayama, Mitsuhiro [Division of Electrical, Electronic and Information Engineering, Graduate School of Engineering, Osaka University, Suita 565-0871 (Japan); Oda, Masaya [Photonics and Electronics Science and Engineering Center, Graduate School of Engineering, Kyoto University, Kyoto 615-8520 (Japan); FLOSFIA Inc., 1-36 Goryoohara, Kyoto 615-8245 (Japan); Kaneko, Kentaro; Fujita, Shizuo [Photonics and Electronics Science and Engineering Center, Graduate School of Engineering, Kyoto University, Kyoto 615-8520 (Japan)

    2016-06-20

    The surface structure of α-Ga{sub 2}O{sub 3}(0001) grown on an α-Al{sub 2}O{sub 3}(0001) substrate by mist chemical vapor deposition was studied by coaxial impact-collision ion scattering spectroscopy (CAICISS) and atomic force microscopy (AFM). The minimum step height observed in the AFM image was 0.21 ± 0.01 nm, coinciding with the height of three atomic layers of α-Ga{sub 2}O{sub 3}(0001). It was revealed by CAICISS analysis that the surface of α-Ga{sub 2}O{sub 3}(0001) is terminated by a Ga layer followed by an O layer, which is consistent with the surface termination of α-Al{sub 2}O{sub 3}(0001). A structural model taking surface relaxation into account was also constructed by fitting the simulated curve for the azimuth angle dependence of the Ga intensity to the experimental dependence. The resultant structural model is similar to the model of an α-Al{sub 2}O{sub 3}(0001) surface, which indicates analogous behavior in corundum crystals.

  1. Low temperature carrier transport study of monolayer MoS{sub 2} field effect transistors prepared by chemical vapor deposition under an atmospheric pressure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xinke, E-mail: xkliu@szu.edu.cn, E-mail: wujing026@gmail.com; He, Jiazhu; Tang, Dan; Lu, Youming; Zhu, Deliang; Liu, Wenjun; Cao, Peijiang; Han, Sun [College of Materials Science and Engineering, Shenzhen Engineering Laboratory for Advanced Technology of Ceramics, Nanshan District Key Lab for Biopolymer and Safety Evaluation, Shenzhen University, 3688 Nanhai Ave, Shenzhen 518060 (China); Liu, Qiang; Wen, Jiao; Yu, Wenjie [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, CAS, 865 Chang Ning Road, Shanghai 200050 (China); Liu, Wenjun [State Key Laboratory of ASIC and System, Department of Microelectronics, Fudan University, 220 Handan Road, Shanghai 200433 (China); Wu, Jing, E-mail: xkliu@szu.edu.cn, E-mail: wujing026@gmail.com [Department of Physics, National University of Singapore, 21 Lower Kent Ridge Road, 117576 Singapore (Singapore); He, Zhubing [Department of Materials Science and Engineering, South University of Science and Technology of China, 1088 Xueyuan Road, Shenzhen 518055 (China); Ang, Kah-Wee [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, 117583 Singapore (Singapore)

    2015-09-28

    Large size monolayer Molybdenum disulphide (MoS{sub 2}) was successfully grown by chemical vapor deposition method under an atmospheric pressure. The electrical transport properties of the fabricated back-gate monolayer MoS{sub 2} field effect transistors (FETs) were investigated under low temperatures; a peak field effect mobility of 59 cm{sup 2}V{sup −1}s{sup −1} was achieved. With the assist of Raman measurement under low temperature, this work identified the mobility limiting factor for the monolayer MoS{sub 2} FETs: homopolar phonon scattering under low temperature and electron-polar optical phonon scattering at room temperature.

  2. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  3. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  4. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  5. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  6. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  7. Rapid formation of nanocrystalline HfO2 powders from amorphous hafnium hydroxide under ultrasonically assisted hydrothermal treatment

    International Nuclear Information System (INIS)

    Meskin, Pavel E.; Sharikov, Felix Yu.; Ivanov, Vladimir K.; Churagulov, Bulat R.; Tretyakov, Yury D.

    2007-01-01

    Peculiarities of hafnium hydroxide hydrothermal decomposition were studied by in situ heat flux calorimetry for the first time. It was shown that this process occurs in one exothermal stage (ΔH = -17.95 kJ mol -1 ) at 180-250 deg. C resulting in complete crystallization of amorphous phase with formation of pure monoclinic HfO 2 . It was found that the rate of m-HfO 2 formation can be significantly increased by combining hydrothermal treatment with simultaneous ultrasonic activation

  8. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  9. Communication: Disorder-suppressed vibrational relaxation in vapor-deposited high-density amorphous ice

    Science.gov (United States)

    Shalit, Andrey; Perakis, Fivos; Hamm, Peter

    2014-04-01

    We apply two-dimensional infrared spectroscopy to differentiate between the two polyamorphous forms of glassy water, low-density (LDA) and high-density (HDA) amorphous ices, that were obtained by slow vapor deposition at 80 and 11 K, respectively. Both the vibrational lifetime and the bandwidth of the 1-2 transition of the isolated OD stretch vibration of HDO in H2O exhibit characteristic differences when comparing hexagonal (Ih), LDA, and HDA ices, which we attribute to the different local structures - in particular the presence of interstitial waters in HDA ice - that cause different delocalization lengths of intermolecular phonon degrees of freedom. Moreover, temperature dependent measurements show that the vibrational lifetime closely follows the structural transition between HDA and LDA phases.

  10. Single and Double Infrared Transitions in Rapid Vapor Deposited Parahydrogen Solids: Application to Sample Thickness Determination and Quantitative Infrared Absorption Spectroscopy

    National Research Council Canada - National Science Library

    Tam, Simon

    2001-01-01

    ...) solid from its infrared (IR) absorption spectrum. Millimeters-thick pH2 solids of exceptional optical clarity can be produced by the rapid vapor deposition method M.E. Fajardo and S. Tam, J. Chem. Phys. 108, 4237 (1998...

  11. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    Science.gov (United States)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  12. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  13. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  14. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  15. HfO2 - rare earth oxide systems in the region with high content of rare earth oxide

    International Nuclear Information System (INIS)

    Shevchenko, A.V.; Lopato, L.M.

    1982-01-01

    Using the methods of annealing and hardenings (10 2 -10 4 deg/s cooling rate) and differential thermal analysis elements of state diagrams of HfO 2 - rare earth oxide (rare earths-La, Pr, Nd, Sm, Gd, Tb, Dy, Y, Er, Yb, Lu, Sc) systems from 1800 deg C up to melting in the range of 60-100 mol% rare earth oxide concentration were constructed. Regularities of HfQ 2 addition effect on high-temperature polymorphic transformations of rare earth oxides were studied. Results of investigation were discussed from viewpoint of crystal chemistry

  16. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  17. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  18. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  19. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  20. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  1. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  2. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  3. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  4. Hydrogen-permeable TiO{sub 2}/SiO{sub 2} membranes formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ha, Heung Yong; Nam, Suk Woo; Yoon, Sung Pil [Korea Institute of Science and Technology, Seoul (Korea, Republic of)] [and others

    1994-12-31

    Thin films of TiO{sub 2}/SiO{sub 2} were deposited on the inner surface of the porous support tubes by the decomposition of tetraisopropyl titanate (TIPT) and tetraethyl orthosilicate (TEOS) at atmospheric pressure. Dense and hydrogen-permeable membranes were formed at 400-600{degrees}C. The permeation rate of H{sub 2} through the membrane at 600{degrees}C was about 0.3 cm{sup 3}(STP)/min-cm{sup 2}-atm and H{sub 2}/N{sub 2} permeation ratio was above 1000. The permeation properties of the membranes were investigated at various deposition temperatures and TIPT/TEOS concentrations. Decomposition of TIPT alone at temperatures above 400{degrees}C produced porous crystalline TiO{sub 2} films which were not H{sub 2}-selective. Decomposition of TEOS, however produced H{sub 2}-permeable SiO{sub 2} films at 400-600{degrees}C but film deposition rate was very low. Addition of TIPT to the TEOS stream significantly accelerated the deposition rate and produced highly H{sub 2}-selective films. Increasing the TEPT/TEOS ratios increased the deposition rate. The TiO{sub 2}/SiO{sub 2} membranes have the permeation properties comparable to those of SiO{sub 2} membranes. The TiO{sub 2}/SiO{sub 2} membranes were stable and did not show significant densification during the treatment at high temperature.

  5. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  7. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  8. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  9. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  10. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  11. Discussion on numerical simulation techniques for patterns of water vapor rise and droplet deposition at NPP cooling tower

    International Nuclear Information System (INIS)

    Guo Dongpeng; Yao Rentai

    2010-01-01

    Based on the working principle of cooling tower, analysis and comparison are made of both advantages and disadvantages of the numerical simulation models, such as ORFAD, KUMULUS, ISCST:A, ANL/UI, CFD etc., which predict the rise and droplet deposition pattern of cooling tower water vapor. The results showed that, CFD model is currently a better model that is used of three-dimensional Renault fluid flow equations predicting the rise and droplet deposition pattern of cooling tower water vapor. The impact of the line trajectory deviation and the speed change inn plume rising is not considered in any other models, and they can not be used for prediction of particle rise and droplet deposition when a larger particle or large buildings in the direction of cooling tower. (authors)

  12. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  13. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  14. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  16. Ab initio study of mechanical and thermo-acoustic properties of tough ceramics: applications to HfO2 in its cubic and orthorhombic phase

    International Nuclear Information System (INIS)

    Ponce, C A; Casali, R A; Caravaca, M A

    2008-01-01

    By means of the ab initio all-electron new full-potential linear-muffin-tin orbitals method, calculations were made for elastic constants C 11 , C 12 and C 44 for Si, ZrO 2 and HfO 2 in their cubic phase, and constants C 11 , C 22 , C 33 , C 12 , C 13 , C 23 , C 44 , C 55 and C 66 for HfO 2 in its orthorhombic phase. Using the Voigt and Reuss theory, estimations were made for polycrystals of their bulk, shear and Young moduli, and Poisson coefficients. The speed of elastic wave propagations and Debye temperatures were estimated for polycrystals built from Si and the above mentioned compounds. The semicore 4f 14 electrons should be included in the valence set of Hf atom in this all-electron approach if accurate results for elastic properties under pressures are looked for

  17. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  18. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  19. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  20. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  1. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  2. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    Science.gov (United States)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary information (ESI) available: Low-magnification optical images; Raman spectra of 0% and 5% H2 samples; AFM characterization; Schematic of the film before and after sulfurization annealing; Schematic illustrations of two typical Raman-active phonon modes (E12g, A1g); Raman (mapping) spectra for 40% and 80% H2 samples before and after sulfurization annealing; PL spectra. See DOI: 10.1039/c5nr00904a

  3. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  4. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  5. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  6. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  7. Role of Ti and Pt electrodes on resistance switching variability of HfO2-based Resistive Random Access Memory

    International Nuclear Information System (INIS)

    Cabout, T.; Buckley, J.; Cagli, C.; Jousseaume, V.; Nodin, J.-F.; Salvo, B. de; Bocquet, M.; Muller, Ch.

    2013-01-01

    This paper deals with the role of platinum or titanium–titanium nitride electrodes on variability of resistive switching characteristics and electrical performances of HfO 2 -based memory elements. Capacitor-like Pt/HfO 2 (10 nm)/Pt and Ti/HfO 2 (10 nm)/TiN structures were fabricated on top of a tungsten pillar bottom electrode and integrated in-between two interconnect metal lines. First, quasi-static measurements were performed to apprehend the role of electrodes on electroforming, set and reset operations and their corresponding switching parameters. Memory elements with Pt as top and bottom electrodes exhibited a non-polar behavior with sharp decrease of current during reset operation while Ti/HfO 2 /TiN capacitors showed a bipolar switching behavior, with a gradual reset. In a second step, statistical distributions of switching parameters (voltage and resistance) were extracted from data obtained on few hundreds of capacitors. Even if the resistance in low resistive state and reset voltage was found to be comparable for both types of electrodes, the progressive reset operation observed on samples with Ti/TiN electrodes led to a lower variability of resistance in high resistive state and concomitantly of set voltage. In addition Ti–TiN electrodes enabled gaining: (i) lower forming and set voltages with significantly narrower capacitor-to-capacitor distributions; (ii) a better data retention capability (10 years at 65 °C instead of 10 years at 50 °C for Pt electrodes); (iii) satisfactory dynamic performances with lower set and reset voltages for ramp speed ranging from 10 −2 to 10 7 V/s. The significant improvement of switching behavior with Ti–TiN electrodes is mainly attributed to the formation of a native interface layer between HfO 2 oxide and Ti top electrode. - Highlights: ► HfO2 based capacitor-like structures were fabricated with Pt and Ti based electrodes. ► Influence of electrode materials on switching parameter variability is assessed.

  8. Laser damage resistance of hafnia thin films deposited by electron beam deposition, reactive low voltage ion plating, and dual ion beam sputtering

    International Nuclear Information System (INIS)

    Gallais, Laurent; Capoulade, Jeremie; Natoli, Jean-Yves; Commandre, Mireille; Cathelinaud, Michel; Koc, Cian; Lequime, Michel

    2008-01-01

    A comparative study is made of the laser damage resistance of hafnia coatings deposited on fused silica substrates with different technologies: electron beam deposition (from Hf or HfO2 starting material), reactive low voltage ion plating, and dual ion beam sputtering.The laser damage thresholds of these coatings are determined at 1064 and 355 nm using a nanosecond pulsed YAG laser and a one-on-one test procedure. The results are associated with a complete characterization of the samples: refractive index n measured by spectrophotometry, extinction coefficient k measured by photothermal deflection, and roughness measured by atomic force microscopy

  9. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  10. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal; Alatawi, Abdullah; Wong, Ka Chun; Tangi, Malleswararao; Holguin Lerma, Jorge Alberto; Stegenburgs, Edgars; Shakfa, Mohammad Khaled; Ng, Tien Khee; Rahman, Abdul; Alyamani, Ahmed; Ooi, Boon S.

    2018-01-01

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical

  11. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  12. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  13. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa2Cu3O7-δ coated conductor wires

    International Nuclear Information System (INIS)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L; Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V

    2009-01-01

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J c ) (Y,Sm) 1 Ba 2 Cu 3 O y (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 μm of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I c s) of up to 600 A/cm width (t = 2.8 μm, J c = 2.6 MA cm -2 , 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm) 2 O 3 nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J c in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO 2 nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm) 2 O 3 or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I c . There is an inconsistency between the measured J c and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with reproducibility and manufacturing yield.

  14. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  15. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  16. Thermal shock behavior of platinum aluminide bond coat/electron beam-physical vapor deposited thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhenhua, E-mail: zhxuciac@163.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Dai, Jianwei [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Niu, Jing [Shenyang Liming Aero-engine (Group) Corporation Ltd., Institute of Metallurgical Technology, Technical Center, Shengyang 110043 (China); Li, Na; Huang, Guanghong; He, Limin [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China)

    2014-12-25

    Highlights: • TBCs of (Ni, Pt)Al bond coat with grit blasting process and YSZ ceramic coating. • Grain boundary ridges are the sites for spallation damage initiation in TBCs. • Ridges removed, cavities formation appeared and the damage initiation deteriorated. • Damage initiation and progression at interface lead to a buckling failure. - Abstract: Thermal barrier coating systems (TBCs) including of chemical vapor deposited (Ni, Pt)Al bond coat with grit blasting process and electron beam physical vapor deposited Y{sub 2}O{sub 3}-stabilized-ZrO{sub 2} (YSZ) ceramic coating were investigated. The phase structures, surface and cross-sectional morphologies, thermal shock behaviors and residual stresses of the coatings were studied in detail. Grain boundary ridges still remain on the surface of bond coat prior to the deposition of the ceramic coating, which are shown to be the major sites for spallation damage initiation in TBCs. When these ridges are mostly removed, they appear some of cavities formation and then the damage initiation mode is deteriorated. Damage initiation and progression occurs at the bond coat to thermally grown oxide (TGO) interface leading to a buckling failure behavior. A buckle failure once started may be arrested when it runs into a region of high bond coat to TGO interface toughness. Thus, complete failure requires further loss in toughness of the bond coat to TGO interface during cooling. The suppressed cavities formation, the removed ridges at the grain boundaries, the relative high TGO to bond coat interface toughness, the uniform growth behavior of TGO thickening and the lower of the residual stress are the primary factors for prolonging the lifetime of TBCs.

  17. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  18. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-04-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature.

  19. Tungsten chemical vapor deposition characteristics using SiH4 in a single wafer system

    International Nuclear Information System (INIS)

    Rosler, R.S.; Mendonca, J.; Rice, M.J. Jr.

    1988-01-01

    Several workers have recently begun using silane as a high-rate, low-temperature alternative to hydrogen for the reduction of WF 6 in the chemical vapor deposition of W. The deposition and film characteristics of both selective and blanket W using this new chemistry are explored in a radiantly heated single wafer system using closed-loop temperature control with a thermocouple in direct contact with the backside of the wafer. Selective W deposition rates of up to 1.5 μm/min were measured over the temperature range 250--550 0 C with blanket W rates typically 2--5 x lower. Resistivity is in the 10--15 μΩcm range at 300 0 C for SiH 4 /WF 6 ratios of 0.2 to 1.0, while above 400 0 C the range is 7.5--8.5 μΩcm. Si content in the W films is quite low at 10 16 to 10 17 atoms/cm 3 . Adhesion to silicon is excellent at temperatures of 350 0 C and above. Selective W using SiH 4 reduction for doped silicon contact fill shows none of the consumption or encroachment problems common to H 2 reduction, although selectivity is more sensitive. Contact resistance for p + and n + silicon contacts are comparable to aluminum controls and to previously published data. Blanket deposition into narrow geometries gives ≥0% step coverage and without keyholes in the 250--450 0 C deposition temperature range. For low-SiH 4 flows, deposition at 500 0 C causes small keyholes, while at 550 0 C even larger keyholes result. At higher SiH 4 flows, keyholes are typically not seen from 250 to 550 0 C

  20. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.