WorldWideScience

Sample records for vapor deposited cvd

  1. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  2. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  3. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  4. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  5. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  6. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  7. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  8. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  9. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  10. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  11. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  12. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  13. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  14. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  15. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  16. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  17. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  18. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  19. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  20. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  1. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  2. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  3. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  4. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  5. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  6. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  7. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  8. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  9. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  10. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  11. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  12. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  13. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  14. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  15. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  16. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  17. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  18. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  19. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  20. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  1. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  2. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  3. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  4. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  5. CVD of solid oxides in porous substrates for ceramic membrane modification

    NARCIS (Netherlands)

    Lin, Y.S.; Lin, Y.S.; Burggraaf, Anthonie; Burggraaf, A.J.

    1992-01-01

    The deposition of yttria-doped zirconia has been experimented systematically in various types of porous ceramic substrates by a modified chemical vapor deposition (CVD) process operating in an opposing reactant geometry using water vapor and corresponding metal chloride vapors as reactants. The

  6. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  7. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  8. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  9. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  10. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  11. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  12. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  13. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  14. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  15. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  16. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    International Nuclear Information System (INIS)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-01

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasive and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.

  17. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  18. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  19. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  20. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  1. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  2. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  3. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  4. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  5. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  6. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  7. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  8. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  9. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  10. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  11. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  12. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  13. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  14. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  15. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  16. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  17. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  18. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  19. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  20. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  1. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  2. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  3. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  4. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    Science.gov (United States)

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  5. Effect of e-beam irradiation on graphene layer grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Iqbal, M. Z.; Kumar Singh, Arun; Iqbal, M. W.; Seo, Sunae; Eom, Jonghwa

    2012-01-01

    We have grown graphene by chemical vapor deposition (CVD) and transferred it onto Si/SiO 2 substrates to make tens of micron scale devices for Raman spectroscopy study. The effect of electron beam (e-beam) irradiation of various doses (600 to 12 000 μC/cm 2 ) on CVD grown graphene has been examined by using Raman spectroscopy. It is found that the radiation exposures result in the appearance of the strong disorder D band attributed the damage to the lattice. The evolution of peak frequencies, intensities, and widths of the main Raman bands of CVD graphene is analyzed as a function of defect created by e-beam irradiation. Especially, the D and G peak evolution with increasing radiation dose follows the amorphization trajectory, which suggests transformation of graphene to the nanocrystalline and then to amorphous form. We have also estimated the strain induced by e-beam irradiation in CVD graphene. These results obtained for CVD graphene are in line with previous findings reported for the mechanically exfoliated graphene [D. Teweldebrhan and A. A. Balandin, Appl. Phys. Lett. 94, 013101 (2009)]. The results have important implications for CVD graphene characterization and device fabrication, which rely on the electron microscopy.

  6. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    th increase were explained via the bonding characteristics of each element. - Highlights: ► We used non-toxic precursors not containing Si, Cl nor N elements. ► We investigated the role of H 2 gas during GeSb film deposition. ► GeSb films were deposited by chemical vapor deposition (CVD). ► Atomic ratio of Ge and Sb was well controlled by our custom made CVD system. ► Electrical switching behaviors were clearly observed in CVD-deposited GeSb film.

  7. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  8. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  9. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  10. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  11. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  12. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  13. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  14. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  15. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  16. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  17. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  18. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    Science.gov (United States)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  19. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  20. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  1. Thermodynamic study of CVD-ZrO2 phase diagrams

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Vargas-Garcia, J.R.; Dominguez-Crespo, M.A.; Romero-Serrano, J.A.

    2009-01-01

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO 2 ) from zirconium acetylacetonate Zr(acac) 4 has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp o , ΔH o and S o for Zr(acac) 4 have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO 2 can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO 2 and the other one corresponds to a mix of monoclinic phase of ZrO 2 and graphite carbon.

  2. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  3. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  4. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  5. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  6. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  7. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  8. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  9. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  10. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  11. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  12. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  13. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  14. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  15. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  16. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  17. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  18. Synthesis of Three-dimensional Polymer Nanostructures via Chemical Vapor Deposition

    Science.gov (United States)

    Cheng, Kenneth

    Chemical vapor deposition (CVD) is a widely practiced methodology for preparing thin film polymer coatings, and the coatings can be applied to a broad range of materials, including three-dimensional solid structures and low-vapor pressure liquids. Reactive poly(p-xylylene) (PPX) coatings prepared by CVD can be used as a powerful tool for surface functionalization and bio-conjugation. The first portion of this dissertation serves to extend the use of CVD-based reactive PPX coatings as a surface functionalization strategy for the conjugation of biomolecules. Micro-structured PPX coatings having multiple surface reactive groups were fabricated. Multiple orthogonal click reactions were then employed to selectively immobilize galactose and mannobiose to the micro-structured polymer coatings. The presence of different types of carbohydrate enables lectins binding for examining ligands/cell receptor interactions. This dissertation also demonstrates the use of CVD-based reactive PPX coatings as intermediate layers to immobilize adenoviral vectors onto tissue scaffolds. The ability to tether adenoviral vectors on tissue scaffolds localizes the transduction near the scaffold surface and reduces acute toxicity and hepatic pathology cause by direct administration of the viral vector, providing a safe and efficient gene therapy delivery strategy. In the second portion of this dissertation, we explore the CVD of PPX onto surfaces coated with a thin layer of liquid crystal (LC). Instead of forming a conformal PPX coating encapsulating the LC layer, PPX assembled into an array of high-aspect ratio nanofibers inside the LC layer. The LC layer was demonstrated to act as a template where the anisotropic internal ordering of the LC facilitated the formation of nanofibers. The diameter of the nanofibers was in the range of 100 nm and could be tuned by type of LC template used, and the length of the nanofibers could be precisely controlled by varying the thickness of the LC film. The

  19. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  20. Influence of cold rolling and strain rate on plastic response of powder metallurgy and chemical vapor deposition rhenium

    International Nuclear Information System (INIS)

    Koeppel, B.J.; Subhash, G.

    1999-01-01

    The plastic response of two kinds of rhenium processed via powder metallurgy (PM) and chemical vapor deposition (CVD) were investigated under uniaxial compression over a range of strain rates. The PM rhenium, further cold rolled to 50 and 80 pct of the original thickness, was also investigated to assess the influence of cold work on the plastic behavior. A strong basal texture was detected in all the preceding materials as a result of processing and cold work. Both CVD and PM rhenium exhibited an increase in yield strength and flow stress with increasing strain rate. In PM rhenium, cold work resulted in an increase in hardness and yield strength and a decrease in the work hardening rate. The deformed microstructures revealed extensive twinning in CVD rhenium. At large strains, inhomogeneous deformation mode in the form of classical cup and cone fracture was noticed

  1. Laser-Directed CVD 3D Printing System for Refractory Metal Propulsion Hardware, Phase II, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In this work, Ultramet is developing a three-dimensional (3D) laser-directed chemical vapor deposition (CVD) additive manufacturing system to build free-form...

  2. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  3. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  4. Fabrication of Nanocarbon Composites Using In Situ Chemical Vapor Deposition and Their Applications.

    Science.gov (United States)

    He, Chunnian; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; Li, Jiajun

    2015-09-23

    Nanocarbon (carbon nanotubes (CNTs) and graphene (GN)) composites attract considerable research interest due to their fascinating applications in many fields. Here, recent developments in the field of in situ chemical vapor deposition (CVD) for the design and controlled preparation of advanced nanocarbon composites are highlighted, specifically, CNT-reinforced bulk structural composites, as well as CNT, GN, and CNT/GN functional composites, together with their practical and potential applications. In situ CVD is a very attractive approach for the fabrication of composites because of its engaging features, such as its simplicity, low-cost, versatility, and tunability. The morphologies, structures, dispersion, and interface of the resulting nanocarbon composites can be easily modulated by varying the experimental parameters (such as temperature, catalysts, carbon sources, templates or template catalysts, etc.), which enables a great potential for the in situ synthesis of high-quality nanocarbons with tailored size and dimension for constructing high-performance composites, which has not yet been achieved by conventional methods. In addition, new trends of the in situ CVD toward nanocarbon composites are discussed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  6. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    Science.gov (United States)

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  7. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  8. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  9. Surface functionalization of 3D-printed plastics via initiated chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Christine Cheng

    2017-08-01

    Full Text Available 3D printing is a useful fabrication technique because it offers design flexibility and rapid prototyping. The ability to functionalize the surfaces of 3D-printed objects allows the bulk properties, such as material strength or printability, to be chosen separately from surface properties, which is critical to expanding the breadth of 3D printing applications. In this work, we studied the ability of the initiated chemical vapor deposition (iCVD process to coat 3D-printed shapes composed of poly(lactic acid and acrylonitrile butadiene styrene. The thermally insulating properties of 3D-printed plastics pose a challenge to the iCVD process due to large thermal gradients along the structures during processing. In this study, processing parameters such as the substrate temperature and the filament temperature were systematically varied to understand how these parameters affect the uniformity of the coatings along the 3D-printed objects. The 3D-printed objects were coated with both hydrophobic and hydrophilic polymers. Contact angle goniometry and X-ray photoelectron spectroscopy were used to characterize the functionalized surfaces. Our results can enable the use of iCVD to functionalize 3D-printed materials for a range of applications such as tissue scaffolds and microfluidics.

  10. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  11. Chemical vapor deposition of aluminide coatings on iron, nickel and superalloys

    International Nuclear Information System (INIS)

    John, John T.; De, P.K.; Dubey, Vivekanand; Srinivasa, Raman

    2009-08-01

    Aluminide coatings are a class of intermetallic coatings applied on nickel and cobalt base superalloys and steels to protect them from different forms of environmental degradation at high temperatures. In this report a CVD system that can produce the aluminide coatings on iron, nickel and nickel base alloys has been described and the result of chemical vapor deposition of aluminide coatings on iron specimens, their characterization, and property evaluation have been presented. The CVD system consists of an AlCl 3 bath, a stainless steel retort as a hot-wall reacto, cold traps and vacuum system. Aluminium chloride vapor was carried in a stream of hydrogen gas at a flow rate of 150 SCCM (standard cubic centimeter per minute) into the CVD reactor maintained in the temperature range of 1173 - 1373 K and at a pressure of 1.33 kPa (10 Torr). Aluminum deposition takes place from aluminium subchlorides produced by reaction between AlCl 3 and pure aluminum kept in the CVD reactor. The aluminum diffuses into the iron samples and iron aluminide phases are formed at the surface. The coatings were shining bright and showed good adherence to the substrate. The coatings consisted of FeAl phase over a wide range of experimental conditions. The growth kinetics of the coating followed a parabolic rate law and the mean activation energy was 212 ±16 kJ/mol. Optical microscopic studies on the transverse section of the coating showed that the aluminide coating on iron consisted of two layers. The top layer had a thickness in the range of 20-50 μm, and the under layer had thickness ranging from 35 to 250 μm depending on coating temperature in two hours. The thickness of the aluminide layer increased with coating duration and temperature. Electron microprobe studies (EPMA) showed that the aluminum concentration decreased steadily as distance from the surface increased. TEM studies showed that the outer most layer had a B2 order (of the FeAl phase), which extended even into the under

  12. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  13. Composition and Morphology Control of Metal Dichalcogenides via Chemical Vapor Deposition for Photovoltaic and Nanoelectronic Applications

    Science.gov (United States)

    Samad, Leith L. J.

    The body of work reviewed here encompasses a variety of metal dichalcogenides all synthesized using chemical vapor deposition (CVD) for solar and electronics applications. The first reported phase-pure CVD synthesis of iron pyrite thin films is presented with detailed structural and electrochemical analysis. The phase-pure thin film and improved crystal growth on a metallic backing material represents one of the best options for potential solar applications using iron pyrite. Large tin-sulfur-selenide solid solution plates with tunable bandgaps were also synthesized via CVD as single-crystals with a thin film geometry. Solid solution tin-sulfur-selenide plates were demonstrated to be a new material for solar cells with the first observed solar conversion efficiencies up to 3.1%. Finally, a low temperature molybdenum disulfide vertical heterostructure CVD synthesis with layered controlled growth was achieved with preferential growth enabled by Van der Waals epitaxy. Through recognition of additional reaction parameters, a fully regulated CVD synthesis enabled the controlled growth of 1-6 molybdenum disulfide monolayers for nanoelectronic applications. The improvements in synthesis and materials presented here were all enabled by the control afforded by CVD such that advances in phase purity, growth, and composition control of several metal dichalcogenides were achieved. Further work will be able to take full advantage of these advances for future solar and electronics technologies.

  14. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  15. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  16. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  17. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  18. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  19. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  20. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  1. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  2. Vapor phase coatings of metals and organics for laser fusion target applications

    International Nuclear Information System (INIS)

    Simonsic, G.A.; Powell, B.W.

    Techniques for applying a variety of metal and organic coatings to 50- to 500 μm diameter glass micro-balloons are discussed. Coating thicknesses vary from 1- to 10 μm. Physical vapor deposition (PVD), chemical vapor deposition (CVD), and electrolytic and electroless plating are some of the techniques being evaluated for metal deposition. PVD and glow discharge polymerization are being used for the application of organic coatings. (U.S.)

  3. Thermodynamic study of CVD-ZrO{sub 2} phase diagrams

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atorresh@ipn.m [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Vargas-Garcia, J.R. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico); Dominguez-Crespo, M.A. [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Romero-Serrano, J.A. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico)

    2009-08-26

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO{sub 2}) from zirconium acetylacetonate Zr(acac){sub 4} has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp{sup o}, DELTAH{sup o} and S{sup o} for Zr(acac){sub 4} have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO{sub 2} can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO{sub 2} and the other one corresponds to a mix of monoclinic phase of ZrO{sub 2} and graphite carbon.

  4. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  5. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  6. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  7. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  8. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  9. Initiated chemical vapor deposited nanoadhesive for bonding National Ignition Facility's targets

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tom [Univ. of California, Berkeley, CA (United States)

    2016-05-19

    Currently, the target fabrication scientists in National Ignition Facility Directorate at Lawrence Livermore National Laboratory (LLNL) is studying the propagation force resulted from laser impulses impacting a target. To best study this, they would like the adhesive used to glue the target substrates to be as thin as possible. The main objective of this research project is to create adhesive glue bonds for NIF’s targets that are ≤ 1 μm thick. Polyglycidylmethacrylate (PGMA) thin films were coated on various substrates using initiated chemical vapor deposition (iCVD). Film quality studies using white light interferometry reveal that the iCVD PGMA films were smooth. The coated substrates were bonded at 150 °C under vacuum, with low inflow of Nitrogen. Success in bonding most of NIF’s mock targets at thicknesses ≤ 1 μm indicates that our process is feasible in bonding the real targets. Key parameters that are required for successful bonding were concluded from the bonding results. They include inert bonding atmosphere, sufficient contact between the PGMA films, and smooth substrates. Average bond strength of 0.60 MPa was obtained from mechanical shearing tests. The bonding failure mode of the sheared interfaces was observed to be cohesive. Future work on this project will include reattempt to bond silica aerogel to iCVD PGMA coated substrates, stabilize carbon nanotube forests with iCVD PGMA coating, and kinetics study of PGMA thermal crosslinking.

  10. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  11. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  12. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  13. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  14. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  15. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  16. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  17. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  18. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  19. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  20. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  1. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  2. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  3. Advanced zirconia-coated carbonyl-iron particles for acidic magnetorheological finishing of chemical-vapor-deposited ZnS and other IR materials

    Science.gov (United States)

    Salzman, S.; Giannechini, L. J.; Romanofsky, H. J.; Golini, N.; Taylor, B.; Jacobs, S. D.; Lambropoulos, J. C.

    2015-10-01

    We present a modified version of zirconia-coated carbonyl-iron (CI) particles that were invented at the University of Rochester in 2008. The amount of zirconia on the coating is increased to further protect the iron particles from corrosion when introduced to an acidic environment. Five low-pH, magnetorheological (MR) fluids were made with five acids: acetic, hydrochloric, nitric, phosphoric, and hydrofluoric. All fluids were based on the modified zirconia-coated CI particles. Off-line viscosity and pH stability were measured for all acidic MR fluids to determine the ideal fluid composition for acidic MR finishing of chemical-vapor-deposited (CVD) zinc sulfide (ZnS) and other infrared (IR) optical materials, such as hot-isostatic-pressed (HIP) ZnS, CVD zinc selenide (ZnSe), and magnesium fluoride (MgF2). Results show significant reduction in surface artifacts (millimeter-size, pebble-like structures on the finished surface) for several standard-grade CVD ZnS substrates and good surface roughness for the non-CVD MgF2 substrate when MR finished with our advanced acidic MR fluid.

  4. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  5. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  6. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  7. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  8. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  10. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  11. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  12. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  13. CVD Graphene/Ni Interface Evolution in Sulfuric Electrolyte

    DEFF Research Database (Denmark)

    Yivlialin, Rossella; Bussetti, Gianlorenzo; Duò, Lamberto

    2018-01-01

    Systems comprising single and multilayer graphene deposited on metals and immersed in acid environments have been investigated, with the aim of elucidating the mechanisms involved, for instance, in hydrogen production or metal protection from corrosion. In this work, a relevant system, namely...... chemical vapor deposited (CVD) multilayer graphene/Ni (MLGr/Ni), is studied when immersed in a diluted sulfuric electrolyte. The MLGr/Ni electrochemical and morphological properties are studied in situ and interpreted in light of the highly oriented pyrolytic graphite (HOPG) electrode behavior, when...... immersed in the same electrolyte. Following this interpretative framework, the dominant role of the Ni substrate in hydrogen production is clarified....

  14. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiang, E-mail: xiangliwj@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Wang, Lin [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Yu, Xiaoming [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Feng, Yafei [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Wang, Chengtao [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Yang, Ke [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Su, Daniel [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China)

    2013-07-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold.

  15. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    International Nuclear Information System (INIS)

    Li, Xiang; Wang, Lin; Yu, Xiaoming; Feng, Yafei; Wang, Chengtao; Yang, Ke; Su, Daniel

    2013-01-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold

  16. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  17. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  18. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  19. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  20. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  1. Defect-Free Graphene Synthesized Directly at 150 °C via Chemical Vapor Deposition with No Transfer.

    Science.gov (United States)

    Park, Byeong-Ju; Choi, Jin-Seok; Eom, Ji-Ho; Ha, Hyunwoo; Kim, Hyun You; Lee, Seonhee; Shin, Hyunjung; Yoon, Soon-Gil

    2018-02-27

    Direct graphene synthesis on substrates via chemical vapor deposition (CVD) is an attractive approach for manufacturing flexible electronic devices. The temperature for graphene synthesis must be below ∼200 °C to prevent substrate deformation while fabricating flexible devices on plastic substrates. Herein, we report a process whereby defect-free graphene is directly synthesized on a variety of substrates via the introduction of an ultrathin Ti catalytic layer, due to the strong affinity of Ti to carbon. Ti with a thickness of 10 nm was naturally oxidized by exposure to air before and after the graphene synthesis, and the various functions of neither the substrates nor the graphene were influenced. This report offers experimental evidence of high-quality graphene synthesis on Ti-coated substrates at 150 °C via CVD. The proposed methodology was applied to the fabrication of flexible and transparent thin-film capacitors with top electrodes of high-quality graphene.

  2. Synthesis and characterization of organosilicon compounds as novel precursors for CVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Ermakova, E.N.; Sysoev, S.V.; Nikulina, L.D. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation); Tsyrendorzhieva, I.P.; Rakhlin, V.I. [Favorskii Institute of Chemistry, Siberian Branch of the Russian Academy of Sciences, Favorskii Str. 1, Irkutsk 664033 (Russian Federation); Kosinova, M.L., E-mail: marina@niic.nsc.ru [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation)

    2015-12-20

    Highlights: • The temperature dependences of vapor pressure of four precursors have been measured. • The experimental data were used to calculate standard thermodynamic functions. • The thermodynamic modelling of SiC{sub x}N{sub y} films formation has been performed. - Abstract: Chemical vapor deposition using single-source organosilicon precursors is one of the most effective ways to produce multifunctional SiC{sub x}N{sub y} films. It is worth mentioning that the precursor molecule design affects both the composition and properties of films. Four organosilicon compounds containing a phenyl substituent (namely, trimethylphenylsilane, trimethyl(phenylamino) silane, trimethyl(benzylamino)silane and bis(trimethylsilyl)phenylamine) have been synthesized and characterized as potential CVD precursors for SiC{sub x}N{sub y} films synthesis. The compounds have been shown to be volatile and stable enough to be used in chemical vapor deposition of SiC{sub x}N{sub y} films. Thermodynamic modeling of the film deposition from the gaseous mixture of trimethylphenylsilane and ammonia in Si–C–N–H system has demonstrated that SiC{sub x}N{sub y} films can be deposited, and there is an opportunity to determine the area of appropriate deposition conditions.

  3. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  4. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  5. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  6. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  7. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  8. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  9. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  10. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  11. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  12. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  13. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  14. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  15. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  16. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  17. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  18. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  19. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  20. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  1. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  2. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  3. Hetero- and homogeneous three-dimensional hierarchical tungsten oxide nanostructures by hot-wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Houweling, Z.S., E-mail: Silvester.Houweling@asml.com [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Harks, P.-P.R.M.L.; Kuang, Y.; Werf, C.H.M. van der [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Geus, J.W. [Utrecht University, Inorganic Chemistry and Catalysis, Padualaan 8, 3584 CH Utrecht (Netherlands); Schropp, R.E.I. [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands)

    2015-01-30

    We present the synthesis of three-dimensional tungsten oxide (WO{sub 3−x}) nanostructures, called nanocacti, using hot-wire chemical vapor deposition. The growth of the nanocacti is controlled through a succession of oxidation, reduction and re-oxidation processes. By using only a resistively heated W filament, a flow of ambient air and hydrogen at subatmospheric pressure, and a substrate heated to about 700 °C, branched nanostructures are deposited. We report three varieties of simple synthesis approaches to obtain hierarchical homo- and heterogeneous nanocacti. Furthermore, by using catalyst nanoparticles site-selection for the growth is demonstrated. The atomic, morphological and crystallographic compositions of the nanocacti are determined using a combination of electron microscopy techniques, energy-dispersive X-ray spectroscopy and electron diffraction. - Highlights: • Continuous upscalable hot-wire CVD of 3D hierarchical nanocacti • Controllable deposition of homo- and heterogeneous WO{sub 3−x}/WO{sub 3−y} nanocacti • Introduction of three synthesis routes comprising oxidation, reduction and re-oxidation processes • Growth of periodic arrays of hetero- and homogeneous hierarchical 3D nanocacti.

  4. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  5. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  6. Effect of mixture ratios and nitrogen carrier gas flow rates on the morphology of carbon nanotube structures grown by CVD

    CSIR Research Space (South Africa)

    Malgas, GF

    2008-02-01

    Full Text Available This paper reports on the growth of carbon nanotubes (CNTs) by thermal Chemical Vapour Deposition (CVD) and investigates the effects of nitrogen carrier gas flow rates and mixture ratios on the morphology of CNTs on a silicon substrate by vaporizing...

  7. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  8. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  9. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  10. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.H.; Cho, W.D. [Argonne National Lab., IL (United States)

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygen in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.

  11. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  12. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  13. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  14. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  15. Clean and polymer-free transfer of CVD-grown graphene films on hexagonal boron nitride substrates

    Science.gov (United States)

    Fujihara, Miho; Ogawa, Shun; Yoshimura, Shintaro; Inoue, Ryosuke; Maniwa, Yutaka; Taniguchi, Takashi; Watanabe, Kenji; Shinohara, Hisanori; Miyata, Yasumitsu

    2017-05-01

    This report describes the development of a solution-assisted, polymer-free transfer method and the characterization of chemical vapor deposition (CVD)-grown graphene on hexagonal boron nitride. Raman analysis reveals that polymer-free samples have small variations in G- and 2D-mode Raman frequencies and are minimally affected by charge doping as observed for clean exfoliated graphene. Electrical measurements indicate that charge doping, hysteresis, and carrier scattering are suppressed in polymer-free samples. The results demonstrate that this method provides a simple and effective way to prepare clean heterostructures of CVD-grown, large-area graphene and other two-dimensional materials.

  16. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  17. Vertical heterostructures of MoS2 and graphene nanoribbons grown by two-step chemical vapor deposition for high-gain photodetectors.

    Science.gov (United States)

    Yunus, Rozan Mohamad; Endo, Hiroko; Tsuji, Masaharu; Ago, Hiroki

    2015-10-14

    Heterostructures of two-dimensional (2D) layered materials have attracted growing interest due to their unique properties and possible applications in electronics, photonics, and energy. Reduction of the dimensionality from 2D to one-dimensional (1D), such as graphene nanoribbons (GNRs), is also interesting due to the electron confinement effect and unique edge effects. Here, we demonstrate a bottom-up approach to grow vertical heterostructures of MoS2 and GNRs by a two-step chemical vapor deposition (CVD) method. Single-layer GNRs were first grown by ambient pressure CVD on an epitaxial Cu(100) film, followed by the second CVD process to grow MoS2 over the GNRs. The MoS2 layer was found to grow preferentially on the GNR surface, while the coverage could be further tuned by adjusting the growth conditions. The MoS2/GNR nanostructures show clear photosensitivity to visible light with an optical response much higher than that of a 2D MoS2/graphene heterostructure. The ability to grow a novel 1D heterostructure of layered materials by a bottom-up CVD approach will open up a new avenue to expand the dimensionality of the material synthesis and applications.

  18. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  19. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  20. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  1. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    Science.gov (United States)

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  3. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  4. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M. L. [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy); Rossi, M. [Università degli Studi di Roma “Sapienza,” via A. Scarpa, Dipartimento di Scienze di Base e Applicate per l’Ingegneria and Centro di Ricerca per le Nanotecnologie Applicate all’Ingegneria (CNIS) (Italy); Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy)

    2016-11-15

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  5. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  6. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  7. Near room temperature chemical vapor deposition of graphene with diluted methane and molten gallium catalyst.

    Science.gov (United States)

    Fujita, Jun-Ichi; Hiyama, Takaki; Hirukawa, Ayaka; Kondo, Takahiro; Nakamura, Junji; Ito, Shin-Ichi; Araki, Ryosuke; Ito, Yoshikazu; Takeguchi, Masaki; Pai, Woei Wu

    2017-09-28

    Direct growth of graphene integrated into electronic devices is highly desirable but difficult due to the nominal ~1000 °C chemical vapor deposition (CVD) temperature, which can seriously deteriorate the substrates. Here we report a great reduction of graphene CVD temperature, down to 50 °C on sapphire and 100 °C on polycarbonate, by using dilute methane as the source and molten gallium (Ga) as catalysts. The very low temperature graphene synthesis is made possible by carbon attachment to the island edges of pre-existing graphene nuclei islands, and causes no damages to the substrates. A key benefit of using molten Ga catalyst is the enhanced methane absorption in Ga at lower temperatures; this leads to a surprisingly low apparent reaction barrier of ~0.16 eV below 300 °C. The faster growth kinetics due to a low reaction barrier and a demonstrated low-temperature graphene nuclei transfer protocol can facilitate practical direct graphene synthesis on many kinds of substrates down to 50-100 °C. Our results represent a significant progress in reducing graphene synthesis temperature and understanding its mechanism.

  8. Hot wire chemical vapor deposition: limits and opportunities of protecting the tungsten catalyzer from silicide with a cavity

    International Nuclear Information System (INIS)

    Frigeri, P.A.; Nos, O.; Bengoechea, S.; Frevert, C.; Asensi, J.M.; Bertomeu, J.

    2009-01-01

    Hot Wire Chemical Vapor Deposition (HW-CVD) is one of the most promising techniques for depositing the intrinsic microcrystalline silicon layer for the production of micro-morph solar cells. However, the silicide formation at the colder ends of the tungsten wire drastically reduces the lifetime of the catalyzer, thus limiting its industrial exploitation. A simple but interesting strategy to decrease the silicide formation is to hide the electrical contacts of the catalyzer in a long narrow cavity which reduces the probability of the silane molecules to reach the colder ends of the wire. In this paper, the working mechanism of the cavity is elucidated. Measurements of the thickness profile of the silicon deposited in the internal walls of the cavity have been compared with those predicted using a simple diffusion model based on the assumption of Knudsen flow. A lifetime study of the protected and unprotected wires has been carried out. The different mechanisms which determine the deterioration of the catalyzer have been identified and discussed.

  9. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  10. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  11. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  12. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  13. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  14. Fast method for reactor and feature scale coupling in ALD and CVD

    Science.gov (United States)

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  15. Selective tungsten deposition in a batch cold wall CVD system

    International Nuclear Information System (INIS)

    Chow, R.; Kang, S.; Harshbarger, W.R.; Susoeff, M.

    1987-01-01

    Selective deposition of tungsten offers many advantages for VLSI technology. The process can be used as a planarization technique for multilevel interconnect technology, it can be used to fill contacts and to provide a barrier layer between Al and Si materials, and the selective W process might be used as a self-aligned technology to provide low resistance layers on source/drain and gate conductors. Recent publications have indicate that cold wall CVD systems provide advantages for development of selective W process. Genus has investigated selective W deposition processing, and we have developed a selective W deposition process for the Genus 8402 multifilm deposition system. This paper describes the Genus 8402 system and the selective W process developed in this reactor. To further develop selective W technology, Genus has signed an agreement with General Electric establishing a joint development program. As a part of this program, the authors characterized the selective W process for encroachment, Si consumption and degrees of selectivity on various dielectrics. The status of this development activity and process characterization is reviewed in this paper

  16. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  17. High Yield Chemical Vapor Deposition Growth of High Quality Large-Area AB Stacked Bilayer Graphene

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Yu, Woo Jong; Liu, Yuan; Chen, Yu; Shaw, Jonathan; Zhong, Xing; Huang, Yu; Duan, Xiangfeng

    2012-01-01

    Bernal stacked (AB stacked) bilayer graphene is of significant interest for functional electronic and photonic devices due to the feasibility to continuously tune its band gap with a vertical electrical field. Mechanical exfoliation can be used to produce AB stacked bilayer graphene flakes but typically with the sizes limited to a few micrometers. Chemical vapor deposition (CVD) has been recently explored for the synthesis of bilayer graphene but usually with limited coverage and a mixture of AB and randomly stacked structures. Herein we report a rational approach to produce large-area high quality AB stacked bilayer graphene. We show that the self-limiting effect of graphene growth on Cu foil can be broken by using a high H2/CH4 ratio in a low pressure CVD process to enable the continued growth of bilayer graphene. A high temperature and low pressure nucleation step is found to be critical for the formation of bilayer graphene nuclei with high AB stacking ratio. A rational design of a two-step CVD process is developed for the growth of bilayer graphene with high AB stacking ratio (up to 90 %) and high coverage (up to 99 %). The electrical transport studies demonstrated that devices made of the as-grown bilayer graphene exhibit typical characteristics of AB stacked bilayer graphene with the highest carrier mobility exceeding 4,000 cm2/V·s at room temperature, comparable to that of the exfoliated bilayer graphene. PMID:22906199

  18. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  19. Study of the fluidized bed chemical vapor deposition process on very dense powder for nuclear applications

    International Nuclear Information System (INIS)

    Vanni, Florence

    2015-01-01

    This thesis is part of the development of low-enriched nuclear fuel, for the Materials Test Reactors (MTRs), constituted of uranium-molybdenum particles mixed with an aluminum matrix. Under certain conditions under irradiations, the U(Mo) particles interact with the aluminum matrix, causing unacceptable swelling of the fuel plate. To inhibit this phenomenon, one solution consists in depositing on the surface of the U(Mo) particles, a thin silicon layer to create a barrier effect. This thesis has concerned the study of the fluidized bed chemical vapor deposition (CVD) process to deposit silicon from silane, on the U(Mo) powder, which has an exceptional density of 17,500 kg/m 3 . To achieve this goal, two axes were treated during the thesis: the study and the optimization of the fluidization of a so dense powder, and then those of the silicon deposition process. For the first axis, a series of tests was performed on a surrogate tungsten powder in different columns made of glass and made of steel with internal diameters ranging from 2 to 5 cm, at room temperature and at high temperature (650 C) close to that of the deposits. These experiments helped to identify wall effects phenomena within the fluidized bed, which can lead to heterogeneous deposits or particles agglomeration. Some dimensions of the fluidization columns and operating conditions allowing a satisfactory fluidization of the powder were identified, paving the way for the study of silicon deposition. Several campaigns of deposition experiments on the surrogate powder and then on the U(Mo) powder were carried out in the second axis of the study. The influence of the bed temperature, the inlet molar fraction of silane diluted in argon, and the total gas flow of fluidization, was examined for different diameters of reactor and for various masses of powder. Morphological and structural characterization analyses (SEM, XRD..) revealed a uniform silicon deposition on all the powder and around each particle

  20. H2-dependent attachment kinetics and shape evolution in chemical vapor deposition graphene growth

    Science.gov (United States)

    Meca, Esteban; Shenoy, Vivek B.; Lowengrub, John

    2017-09-01

    Experiments on graphene growth through chemical vapor deposition (CVD) involving methane (CH4) and hydrogen (H2) gases reveal a complex shape evolution and a non-monotonic dependence on the partial pressure of H2 ({{p}{{\\text{H}2}}} ). To explain these intriguing observations, we develop a microkinetic model for the stepwise decomposition of CH4 into mobile radicals and consider two possible mechanisms of attachment to graphene crystals: CH radicals to hydrogen-decorated edges of the crystals and C radicals to bare crystal edges. We derive an effective mass flux and an effective kinetic coefficient, both of which depend on {{p}{{\\text{H}2}}} , and incorporate these into a phase field model. The model reproduces both the non-monotonic dependence on {{p}{{\\text{H}2}}} and the characteristic shapes of graphene crystals observed in experiments. At small {{p}{{\\text{H}2}}} , growth is limited by the kinetics of attachment while at large {{p}{{\\text{H}2}}} growth is limited because the effective mass flux is small. We also derive a simple analytical model that captures the non-monotone behavior, enables the two mechanisms of attachment to be distinguished and provides guidelines for CVD growth of defect-free 2D crystals.

  1. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    International Nuclear Information System (INIS)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-01-01

    A rapid thermal anneal (RTA) in an NH 3 ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 0 C in NH 3 and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (≥1000 0 C) RTA in Ar completely converted W into the low resistivity (31 μΩ cm) tetragonal WSi 2 phase. In contrast, after a prior 900 0 C RTA in NH 3 , N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi 2 formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 0 C NH 3 anneal. The NH 3 -treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 0 C, at which point some increase in contact resistance was measured

  2. Synthesis of freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition (CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Subash; Jaisi, Balaram Paudel; Sharma, Kamal Prasad; Ibrahim Araby, Mona; Kalita, Golap; Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Nagoya (Japan)

    2018-01-15

    In this work, we report the synthesis of two new forms of WS{sub 2} nanostructures - freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition. It is observed that dislocation-driven growth causes WS{sub 2} crystals to grow and merge in both vertical and horizontal directions to form the pyramidal tree. During the formation of WS{sub 2} fibers, the presence of two-step growth was demonstrated. It is observed that sulphurization of WO{sub 3} nanoparticle leads to formation of WS{sub 2} rod in the first stage, followed by second stage in which selective growth causes some WS{sub 2} layers grow faster compared to other ones leading to the formation of fibrous WS{sub 2} structure. Fibers synthesized by our reported method have highly exposed WS{sub 2} layers which can demonstrate interesting catalytic and edge related properties or can be functionalized for future applications. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  4. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  5. Diagramas de fase CVD para la preparación de películas de iridio

    Directory of Open Access Journals (Sweden)

    Hernández-Pérez, M. A.

    2002-02-01

    Full Text Available Chemical vapor deposition (CVD phase diagrams for the preparation of iridium films were calculated using Gibbs free energy minimization method. Iridium acetylacetonate (Ir(acac3 was used as the precursor compound. Two gaseous mixtures were analyzed: Ir(acac3-O2-Ar and Ir(acac3-Ar. The deposition temperatures were explored from 300 to 800 °C, total pressures from 13.3 to 13.332 Pa and partial pressures of Ir(acac3 gas and O2 gas from 0.001 to 1.000 Pa. The Ir-CVD diagrams predicted that without Oj gas in the gaseous mixture, the solid films consist of two solid phases: Ir+C. In contrast, with addition of O2 to the gaseous mixture, the Ir-CVD diagrams revealed different domains of condensed phases which include IrO2, IrO2+Ir, Ir and Ir+C. These diagrams allow one to establish the total pressures and temperatures required to obtain a given film composition. The results predicted by the Ir-CVD diagrams are in good agreement with those experimentally obtained.

    Se calcularon los diagramas de fase CVD (Chemical Vapor Deposition para la preparación de películas de iridio empleando el método de minimización de la energía libre de Gibbs. Como precursor se utilizó acetilacetonato de iridio (Ir(acac3. Se analizaron las mezclas gaseosas Ir(acac3-O2Ar e Ir(acac3-Ar. Las temperaturas de depósito se exploraron desde 300 hasta 800 °C, las presiones totales de 13,3 a 13.332 Pa y las presiones parciales de los gases Ir(acac3 y O2 desde 0,001 hasta 1.000 Pa. Los diagramas Ir-CVD predicen que sin O2 en la mezcla gaseosa, las películas constan de las fases sólidas Ir+C. En contraste, con adición de O2 los diagramas Ir-CVD revelan diferentes dominios de fases sólidas que incluyen IrO2, IrO2+Ir, Ir e Ir+C. Estos diagramas permiten establecer

  6. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  7. Polymer Adsorption on Graphite and CVD Graphene Surfaces Studied by Surface-Specific Vibrational Spectroscopy.

    Science.gov (United States)

    Su, Yudan; Han, Hui-Ling; Cai, Qun; Wu, Qiong; Xie, Mingxiu; Chen, Daoyong; Geng, Baisong; Zhang, Yuanbo; Wang, Feng; Shen, Y R; Tian, Chuanshan

    2015-10-14

    Sum-frequency vibrational spectroscopy was employed to probe polymer contaminants on chemical vapor deposition (CVD) graphene and to study alkane and polyethylene (PE) adsorption on graphite. In comparing the spectra from the two surfaces, it was found that the contaminants on CVD graphene must be long-chain alkane or PE-like molecules. PE adsorption from solution on the honeycomb surface results in a self-assembled ordered monolayer with the C-C skeleton plane perpendicular to the surface and an adsorption free energy of ∼42 kJ/mol for PE(H(CH2CH2)nH) with n ≈ 60. Such large adsorption energy is responsible for the easy contamination of CVD graphene by impurity in the polymer during standard transfer processes. Contamination can be minimized with the use of purified polymers free of PE-like impurities.

  8. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  9. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  10. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  11. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    Science.gov (United States)

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  12. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  13. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  14. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  15. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    International Nuclear Information System (INIS)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa

    2017-01-01

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi_3Fe_5O_1_2, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi_3Fe_5O_1_2 was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi_3Fe_5O_1_2. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe"3"+ ions.

  16. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  17. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    Science.gov (United States)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  18. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  19. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  20. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  1. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    Science.gov (United States)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  2. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  3. Aluminum and aluminum/silicon coatings on ferritic steels by CVD-FBR technology

    International Nuclear Information System (INIS)

    Perez, F.J.; Hierro, M.P.; Trilleros, J.A.; Carpintero, M.C.; Sanchez, L.; Bolivar, F.J.

    2006-01-01

    The use of chemical vapor deposition by fluidized bed reactors (CVD-FBR) offers some advantages in comparison to other coating techniques such as pack cementation, because it allows coating deposition at lower temperatures than pack cementation and at atmospheric pressure without affecting the mechanical properties of material due to heat treatments of the bulk during coating process. Aluminum and aluminum/silicon coatings have been obtained on two different ferritics steels (P-91 and P-92). The coatings were analyzed using several techniques like SEM/EDX and XRD. The results indicated that both coatings were form by Fe 2 Al 5 intermetallic compound, and in the co-deposition the Si was incorporated to the Fe 2 Al 5 structure in small amounts

  4. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  5. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  6. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  7. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  8. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  9. Chemical vapor deposition and electric characterization of perovskite oxides LaMO3 (M=Co, Fe, Cr and Mn) thin films

    International Nuclear Information System (INIS)

    Ngamou, Patrick Herve Tchoua; Bahlawane, Naoufal

    2009-01-01

    Oxides with a perovskite structure are important functional materials often used for the development of modern devices. In view of extending their applicability, it is necessary to efficiently control their growth as thin films using technologically relevant synthesis methods. Pulsed spray evaporation CVD was used to grow several perovskite-type oxides on planar silicon substrates at temperatures ranging from 500 to 700 deg. C. The optimization of the process control parameters allows the attainment of the perovskite structure as a single phase. The electrical characterization using the temperature-dependent conductivity and thermopower indicates the p-type conduction of the grown films and shows a decreasing concentration of the charge carrier, mobility and band gap energy in the sequence LaCoO 3 >LaMnO 3 >LaCrO 3 >LaFeO 3 . The investigation of the electric properties of the obtained perovskite thin films shows the versatility of CVD as a method for the development of innovative devices. - Graphical abstract: We report a single step deposition of perovskite thin films LaMO 3 (M: Co, Mn, Cr, Fe) using pulsed spray evaporation chemical vapor deposition. Electrical and thermopower properties, similar to these of bulk materials, could promote the development of modern thermoelectric devices based on thin films technology.

  10. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  11. Characterization and electrolytic cleaning of poly(methyl methacrylate) residues on transferred chemical vapor deposited graphene

    Science.gov (United States)

    Sun, Jianbo; Finklea, Harry O.; Liu, Yuxin

    2017-03-01

    Poly(methyl methacrylate) (PMMA) residue has long been a critical challenge for practical applications of the transferred chemical vapor deposited (CVD) graphene. Thermal annealing is empirically used for the removal of the PMMA residue; however experiments imply that there are still small amounts of residues left after thermal annealing which are hard to remove with conventional methods. In this paper, the thermal degradation of the PMMA residue upon annealing was studied by Raman spectroscopy. The study reveals that post-annealing residues are generated by the elimination of methoxycarbonyl side chains in PMMA and are believed to be absorbed on graphene via the π-π interaction between the conjugated unsaturated carbon segments and graphene. The post-annealing residues are difficult to remove by further annealing in a non-oxidative atmosphere due to their thermal and chemical stability. An electrolytic cleaning method was shown to be effective in removing these post-annealing residues while preserving the underlying graphene lattice based on Raman spectroscopy and atomic force microscopy studies. Additionally, a solution-gated field effect transistor was used to study the transport properties of the transferred CVD graphene before thermal annealing, after thermal annealing, and after electrolytic cleaning, respectively. The results show that the carrier mobility was significantly improved, and that the p-doping was reduced by removing PMMA residues and post-annealing residues. These studies provide a more in-depth understanding on the thermal annealing process for the removal of the PMMA residues from transferred CVD graphene and a new approach to remove the post-annealing residues, resulting in a residue-free graphene.

  12. Role of hydrogen in the chemical vapor deposition growth of MoS2 atomic layers

    Science.gov (United States)

    Li, Xiao; Li, Xinming; Zang, Xiaobei; Zhu, Miao; He, Yijia; Wang, Kunlin; Xie, Dan; Zhu, Hongwei

    2015-04-01

    Hydrogen plays a crucial role in the chemical vapor deposition (CVD) growth of graphene. Here, we have revealed the roles of hydrogen in the two-step CVD growth of MoS2. Our study demonstrates that hydrogen acts as the following: (i) an inhibitor of the thermal-induced etching effect in the continuous film growth process; and (ii) a promoter of the desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 desulfurization reaction by decreasing the S/Mo atomic ratio and the oxidation reaction of the obtained MoSx (0 < x < 2) films. A high hydrogen content of more than 100% in argon forms nano-sized circle-like defects and damages the continuity and uniformity of the film. Continuous MoS2 films with a high crystallinity and a nearly perfect S/Mo atomic ratio were finally obtained after sulfurization annealing with a hydrogen content in the range of 20%-80%. This insightful understanding reveals the crucial roles of hydrogen in the CVD growth of MoS2 and paves the way for the controllable synthesis of two-dimensional materials. Electronic supplementary information (ESI) available: Low-magnification optical images; Raman spectra of 0% and 5% H2 samples; AFM characterization; Schematic of the film before and after sulfurization annealing; Schematic illustrations of two typical Raman-active phonon modes (E12g, A1g); Raman (mapping) spectra for 40% and 80% H2 samples before and after sulfurization annealing; PL spectra. See DOI: 10.1039/c5nr00904a

  13. Synthesis of CNTs via chemical vapor deposition of carbon dioxide as a carbon source in the presence of NiMgO

    Energy Technology Data Exchange (ETDEWEB)

    Allaedini, Ghazaleh, E-mail: jiny_ghazaleh@yahoo.com [Department of Chemical and Process Engineering, Universiti Kebangsaan Malaysia, UKM Bangi, Selangor (Malaysia); Tasirin, Siti Masrinda [Department of Chemical and Process Engineering, Universiti Kebangsaan Malaysia, UKM Bangi, Selangor (Malaysia); Aminayi, Payam [Chemical and Paper Engineering, Western Michigan University, Kalamazoo, MI (United States)

    2015-10-25

    Carbon nanotubes were synthesized via the chemical vapor deposition (CVD) method, using Ni/MgO as a catalyst and CO{sub 2} as a nontoxic, abundant, and economical carbon source. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM), along with the results from Fourier transform infrared spectroscopy (FT-IR) and Raman spectroscopy, confirmed the successful formation of CNTs. Energy-dispersive X-ray spectroscopy (EDX) was performed to investigate the weight percentage of the present elements in the synthesized powder, and a significant yield of 27.38% was confirmed. The reaction mechanism was discussed, and the role of the carbon source, catalyst support, and presence of H{sub 2} in the reaction environment was elaborated. - Highlights: • CO{sub 2} was used as a nontoxic and economical carbon source for CNT production. • A novel Ni supported MgO has been synthesized and employed in the CVD process. • CNTs were produced with a significant yield of 27.38%.

  14. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  15. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  16. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  17. Fluorescent carbon quantum dots synthesized by chemical vapor deposition: An alternative candidate for electron acceptor in polymer solar cells

    Science.gov (United States)

    Cui, Bo; Yan, Lingpeng; Gu, Huimin; Yang, Yongzhen; Liu, Xuguang; Ma, Chang-Qi; Chen, Yongkang; Jia, Husheng

    2018-01-01

    Excitation-wavelength-dependent blue-greenish fluorescent carbon quantum dots (CQDs) with graphite structure were synthesized by chemical vapor deposition (CVD) method. In comparison with those synthesized by hydrothermal method (named H-CQDs), C-CQDs have less hydrophilic terminal groups, showing good solubility in common organic solvents. Furthermore, these synthesized C-CQDs show a low LUMO energy level (LUMO = -3.84 eV), which is close to that of phenyl-C61-butyric acid methyl ester (PC61BM, LUMO = -4.01 eV), the most widely used electron acceptor in polymer solar cells. Photoluminescence quenching of the poly(3-hexylthiophene-2,5-diyl):C-CQDs blended film (P3HT:C-CQDs) indicated that a photo-induced charge transfer between P3HT and C-CQDs occurs in such a composite film. Bulk heterojunction solar cells using C-CQDs as electron acceptors or doping materials were fabricated and tested. High fill factors were achieved for these C-CQDs based polymer solar cells, demonstrating that CQDs synthesized by CVD could be alternative to the fullerene derivatives for applying in polymer solar cells.

  18. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  19. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    Energy Technology Data Exchange (ETDEWEB)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-12-15

    A rapid thermal anneal (RTA) in an NH/sub 3/ ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 /sup 0/C in NH/sub 3/ and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (greater than or equal to1000 /sup 0/C) RTA in Ar completely converted W into the low resistivity (31 ..mu cap omega.. cm) tetragonal WSi/sub 2/ phase. In contrast, after a prior 900 /sup 0/C RTA in NH/sub 3/, N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi/sub 2/ formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 /sup 0/C NH/sub 3/ anneal. The NH/sub 3/-treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 /sup 0/C, at which point some increase in contact resistance was measured.

  20. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  1. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  2. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  3. Robofurnace: A semi-automated laboratory chemical vapor deposition system for high-throughput nanomaterial synthesis and process discovery

    International Nuclear Information System (INIS)

    Oliver, C. Ryan; Westrick, William; Koehler, Jeremy; Brieland-Shoultz, Anna; Anagnostopoulos-Politis, Ilias; Cruz-Gonzalez, Tizoc; Hart, A. John

    2013-01-01

    Laboratory research and development on new materials, such as nanostructured thin films, often utilizes manual equipment such as tube furnaces due to its relatively low cost and ease of setup. However, these systems can be prone to inconsistent outcomes due to variations in standard operating procedures and limitations in performance such as heating and cooling rates restrict the parameter space that can be explored. Perhaps more importantly, maximization of research throughput and the successful and efficient translation of materials processing knowledge to production-scale systems, relies on the attainment of consistent outcomes. In response to this need, we present a semi-automated lab-scale chemical vapor deposition (CVD) furnace system, called “Robofurnace.” Robofurnace is an automated CVD system built around a standard tube furnace, which automates sample insertion and removal and uses motion of the furnace to achieve rapid heating and cooling. The system has a 10-sample magazine and motorized transfer arm, which isolates the samples from the lab atmosphere and enables highly repeatable placement of the sample within the tube. The system is designed to enable continuous operation of the CVD reactor, with asynchronous loading/unloading of samples. To demonstrate its performance, Robofurnace is used to develop a rapid CVD recipe for carbon nanotube (CNT) forest growth, achieving a 10-fold improvement in CNT forest mass density compared to a benchmark recipe using a manual tube furnace. In the long run, multiple systems like Robofurnace may be linked to share data among laboratories by methods such as Twitter. Our hope is Robofurnace and like automation will enable machine learning to optimize and discover relationships in complex material synthesis processes

  4. Robofurnace: A semi-automated laboratory chemical vapor deposition system for high-throughput nanomaterial synthesis and process discovery

    Energy Technology Data Exchange (ETDEWEB)

    Oliver, C. Ryan; Westrick, William; Koehler, Jeremy; Brieland-Shoultz, Anna; Anagnostopoulos-Politis, Ilias; Cruz-Gonzalez, Tizoc [Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109 (United States); Hart, A. John, E-mail: ajhart@mit.edu [Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109 (United States); Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2013-11-15

    Laboratory research and development on new materials, such as nanostructured thin films, often utilizes manual equipment such as tube furnaces due to its relatively low cost and ease of setup. However, these systems can be prone to inconsistent outcomes due to variations in standard operating procedures and limitations in performance such as heating and cooling rates restrict the parameter space that can be explored. Perhaps more importantly, maximization of research throughput and the successful and efficient translation of materials processing knowledge to production-scale systems, relies on the attainment of consistent outcomes. In response to this need, we present a semi-automated lab-scale chemical vapor deposition (CVD) furnace system, called “Robofurnace.” Robofurnace is an automated CVD system built around a standard tube furnace, which automates sample insertion and removal and uses motion of the furnace to achieve rapid heating and cooling. The system has a 10-sample magazine and motorized transfer arm, which isolates the samples from the lab atmosphere and enables highly repeatable placement of the sample within the tube. The system is designed to enable continuous operation of the CVD reactor, with asynchronous loading/unloading of samples. To demonstrate its performance, Robofurnace is used to develop a rapid CVD recipe for carbon nanotube (CNT) forest growth, achieving a 10-fold improvement in CNT forest mass density compared to a benchmark recipe using a manual tube furnace. In the long run, multiple systems like Robofurnace may be linked to share data among laboratories by methods such as Twitter. Our hope is Robofurnace and like automation will enable machine learning to optimize and discover relationships in complex material synthesis processes.

  5. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  6. GaN-based FETs using Cat-CVD SiN passivation for millimeter-wave applications

    International Nuclear Information System (INIS)

    Higashiwaki, Masataka; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al 0.4 Ga 0.6 N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications

  7. Understanding and improving the chemical vapor deposition process for solar grade silicon production

    OpenAIRE

    Ramos Cabal, Alba

    2015-01-01

    Esta Tesis Doctoral se centra en la investigación del proceso de producción de polisilicio para aplicaciones fotovoltaicas (FV) por la vía química; mediante procesos de depósito en fase vapor (CVD). El polisilicio para la industria FV recibe el nombre de silicio de grado solar (SoG Si). Por un lado, el proceso que domina hoy en día la producción de SoG Si está basado en la síntesis, destilación y descomposición de triclorosilano (TCS) en un reactor CVD -denominado reactor Siemens-. El materia...

  8. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong; Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee; Boo, Jin-Hyo

    2011-01-01

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  9. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong [School of Mechanical Engineering, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee [SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Boo, Jin-Hyo, E-mail: byunghee@skku.edu, E-mail: boong33@skku.edu [Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of)

    2011-03-04

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  10. Urchin-like artificial gallium oxide nanowires grown by a novel MOCVD/CVD-based route for random laser application

    Energy Technology Data Exchange (ETDEWEB)

    Melo, Ronaldo P. de [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Colégio Militar do Recife, Exército Brasileiro, Recife PE 50730-120 (Brazil); Oliveira, Nathalia Talita C. [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Dominguez, Christian Tolentino; Gomes, Anderson S. L.; Araújo, Cid B. de [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Falcão, Eduardo H. L.; Alves, Severino; Luz, Leonis L. da [Departamento de Química Fundamental, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Chassagnon, Remi [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47870, 21078 Dijon Cedex (France); Sacilotti, Marco [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Nanoform Group, Laboratoire Interdisciplinaire Carnot de Bourgogne, Université de Bourgogne, Dijon (France)

    2016-04-28

    A novel procedure based on a two-step method was developed to obtain β-Ga{sub 2}O{sub 3} nanowires by the chemical vapor deposition (CVD) method. The first step consists in the gallium micro-spheres growth inside a metal-organic chemical vapor deposition environment, using an organometallic precursor. Nanoscale spheres covering the microspheres were obtained. The second step involves the CVD oxidization of the gallium micro-spheres, which allow the formation of β-Ga{sub 2}O{sub 3} nanowires on the micro-sphere surface, with the final result being a nanostructure mimicking nature's sea urchin morphology. The grown nanomaterial is characterized by several techniques, including X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and photoluminescence. A discussion about the growth mechanism and the optical properties of the β-Ga{sub 2}O{sub 3} material is presented considering its unknown true bandgap value (extending from 4.4 to 5.68 eV). As an application, the scattering properties of the nanomaterial are exploited to demonstrate random laser emission (around 570 nm) when it is permeated with a laser dye liquid solution.

  11. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  12. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  13. Performance of CVD and CVR coated carbon-carbon in high temperature hydrogen

    Science.gov (United States)

    Adams, J. W.; Barletta, R. E.; Svandrlik, J.; Vanier, P. E.

    As a part of the component development process for the particle bed reactor (PBR), it is necessary to develop coatings which will be time and temperature stable at extremely high temperatures in flowing hydrogen. These coatings must protect the underlying carbon structure from attack by the hydrogen coolant. Degradation which causes small changes in the reactor component, e.g. hole diameter in the hot frit, can have a profound effect on operation. The ability of a component to withstand repeated temperature cycles is also a coating development issue. Coatings which crack or spall under these conditions would be unacceptable. While refractory carbides appear to be the coating material of choice for carbon substrates being used in PBR components, the method of applying these coatings can have a large effect on their performance. Two deposition processes for these refractory carbides, chemical vapor deposition (CVD) and chemical vapor reaction (CVR), have been evaluated. Screening tests for these coatings consisted of testing of coated 2-D and 3-D weave carbon-carbon in flowing hot hydrogen at one atmosphere. Carbon loss from these samples was measured as a function of time. Exposure temperatures up to 3,000 K were used, and samples were exposed in a cyclical fashion cooling to room temperature between exposures. The results of these measurements are presented along with an evaluation of the relative merits of CVR and CVD coatings for this application.

  14. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  15. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  16. Anisotropy and dimensional characteristics in CVD route Y1Ba2Cu3O7-δ

    International Nuclear Information System (INIS)

    Watanabe, K.; Kobayashi, N.; Awaji, S.; Yamane, H.; Hirai, T.; Muto, Y.

    1993-01-01

    The anisotropic behaviors of the upper critical field B c2 and the critical current density J c were investigated in Y 1 Ba 2 Cu 3 O 7-δ films prepared by a chemical vapor deposition (CVD) route. The angular dependence of J c at fixed temperature, the field dependence of J c at fixed angle, and the temperature dependence of J c at fixed field were measured. The obtained results were explored in terms of the dimensional superconducting characteristics. The important information on the anisotropic behaviors of J c in CVD-Y 1 Ba 2 Cu 3 O 7-δ was discussed from a viewpoint of the flux pinning. (orig.)

  17. Dry transfer of chemical-vapor-deposition-grown graphene onto liquid-sensitive surfaces for tunnel junction applications

    International Nuclear Information System (INIS)

    Feng, Ying; Chen, Ke

    2015-01-01

    We report a dry transfer method that can tranfer chemical vapor deposition (CVD) grown graphene onto liquid-sensitive surfaces. The graphene grown on copper (Cu) foil substrate was first transferred onto a freestanding 4 μm thick sputtered Cu film using the conventional wet transfer process, followed by a dry transfer process onto the target surface using a polydimethylsiloxane stamp. The dry-transferred graphene has similar properties to traditional wet-transferred graphene, characterized by scanning electron microscopy, atomic force microscopy, Raman spectroscopy, and electrical transport measurements. It has a sheet resistance of 1.6 ∼ 3.4 kΩ/□, hole density of (4.1 ∼ 5.3) × 10 12 cm −2 , and hole mobility of 460 ∼ 760 cm 2 V −1 s −1 without doping at room temperature. The results suggest that large-scale CVD-grown graphene can be transferred with good quality and without contaminating the target surface by any liquid. Mg/MgO/graphene tunnel junctions were fabricated using this transfer method. The junctions show good tunneling characteristics, which demonstrates the transfer technique can also be used to fabricate graphene devices on liquid-sensitive surfaces. (paper)

  18. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa, E-mail: tanaka@dipole7.kuic.kyoto-u.ac.jp

    2017-01-15

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi{sub 3}Fe{sub 5}O{sub 12}, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi{sub 3}Fe{sub 5}O{sub 12} was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi{sub 3}Fe{sub 5}O{sub 12}. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe{sup 3+} ions.

  19. Causal knowledge extraction by natural language processing in material science: a case study in chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Yuya Kajikawa

    2006-11-01

    Full Text Available Scientific publications written in natural language still play a central role as our knowledge source. However, due to the flood of publications, the literature survey process has become a highly time-consuming and tangled process, especially for novices of the discipline. Therefore, tools supporting the literature-survey process may help the individual scientist to explore new useful domains. Natural language processing (NLP is expected as one of the promising techniques to retrieve, abstract, and extract knowledge. In this contribution, NLP is firstly applied to the literature of chemical vapor deposition (CVD, which is a sub-discipline of materials science and is a complex and interdisciplinary field of research involving chemists, physicists, engineers, and materials scientists. Causal knowledge extraction from the literature is demonstrated using NLP.

  20. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  1. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  2. Estimation of magnetic relaxation property for CVD processed YBCO-coated conductors

    International Nuclear Information System (INIS)

    Takahashi, Y.; Kiuchi, M.; Otabe, E.S.; Matsushita, T.; Shikimachi, K.; Watanabe, T.; Kashima, N.; Nagaya, S.

    2010-01-01

    Ion Beam Assist Deposition/Chemical Vapor Deposition(IBAD/CVD)-processed YBCO-coated conductors with high critical current density J c at high magnetic fields are expected to be applied to superconducting equipments such as superconducting magnetic energy storage (SMES). For application to superconducting magnet in SMES one of the most important properties for superconductors is the relaxation property of superconducting current. In this paper, the relaxation property is investigated for IBAD/CVD-processed YBCO-coated conductors of the superconducting layer in the range of 0.18-0.90 μm. This property can be quantitatively characterized by the apparent pinning potential, U 0 *. It is found that U 0 * takes a smaller value due to the two-dimensional pinning mechanism at high magnetic fields for conductor with thinner superconducting layer. Although U 0 * decreases with increasing thickness at low magnetic fields at 20 K, it increases at high magnetic fields. The results are theoretically explained by the model of the flux creep and flow based on the dimensionality of flux pinning. Scaling analysis is examined for the dependence of U 0 * on the magnetic field, temperature and the layer thickness.

  3. Magnetic and Electrical Properties of Nitrogen-Doped Multiwall Carbon Nanotubes Fabricated by a Modified Chemical Vapor Deposition Method

    Directory of Open Access Journals (Sweden)

    María Luisa García-Betancourt

    2015-01-01

    Full Text Available Chemical vapor deposition (CVD is a preferential method to fabricate carbon nanotubes (CNTs. Several changes have been proposed to obtain improved CNTs. In this work we have fabricated nitrogen-doped multiwall carbon nanotubes (N-MWCNTs by means of a CVD which has been slightly modified. Such modification consists in changing the content of the by-product trap. Instead of acetone, we have half-filled the trap with an aqueous solution of NaCl (0–26.82 wt.%. Scanning electron microscope (SEM characterization showed morphological changes depending upon concentration of NaCl included in the trap. Using high resolution transmission electron microscopy several shape changes on the catalyst nanoparticles were also observed. According to Raman spectroscopy results N-MWCNTs fabricated using pure distillate water exhibit better crystallinity. Resistivity measurements performed on different samples by physical properties measurement Evercool system (PPMS showed metallic to semiconducting temperature dependent transitions when high content of NaCl is used. Results of magnetic properties show a ferromagnetic response to static magnetic fields and the coercive fields were very similar for all the studied cases. However, saturation magnetization is decreased if aqueous solution of NaCl is used in the trap.

  4. Molecular fouling resistance of zwitterionic and amphiphilic initiated chemically vapor-deposited (iCVD) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yang, R; Goktekin, E; Wang, MH; Gleason, KK

    2014-08-08

    Biofouling is a universal problem in various applications ranging from water purification to implantable biomedical devices. Recent advances in surface modification have created a rich library of antifouling surface chemistries, many of which can be categorized into one of the two groups: hydrophilic surfaces or amphiphilic surfaces. We report the straightforward preparation of antifouling thin film coatings in both categories via initiated chemical vapor deposition. A molecular force spectroscopy-based method is demonstrated as a rapid and quantitative assessment tool for comparing the differences in antifouling characteristics. The fouling propensity of single molecules, as opposed to bulk protein solution or bacterial culture, is assessed. This method allows for the interrogation of molecular interaction without the complication resulted from protein conformational change or micro-organism group interactions. The molecular interaction follows the same trend as bacterial adhesion results obtained previously, demonstrating that molecular force probe is a valid method for the quantification and mechanistic examination of fouling. In addition, the molecular force spectroscopy-based method is able to distinguish differences in antifouling capability that is not resolvable by traditional static protein adsorption tests. To lend further insight into the intrinsic fouling resistance of zwitterionic and amphiphilic surface chemistries, Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, advancing and receding water contact angles, and atomic force microscopy are used to elucidate the film properties that are relevant to their antifouling capabilities.

  5. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  6. Synthesis of MoS{sub 2} ribbons and their branched structures by chemical vapor deposition in sulfur-enriched environment

    Energy Technology Data Exchange (ETDEWEB)

    Mahyavanshi, Rakesh D., E-mail: rmahyavanshi@gmail.com [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Sharma, Kamal P. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kondo, Masuharu; Dewa, Takeshita [Department of Life Science and Applied Chemistry, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kawahara, Toshio [Department of Electronics and Information Engineering, Chubu University, 1200 Matsumoto-cho, Kasugai 487-8501 (Japan); Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-07-01

    Highlights: • We demonstrate synthesis of monolayer MoS{sub 2} ribbons and their branched structures. • Unidirectional, bi and tri-directional growth of ribbons from the nucleation point are obtained. • Unidirectional and other branched structures can be synthesized controlling the composition of MoO{sub 3} and sulfur vapor. • The ribbons possess uneven edge structures with angles of 60° and 120°, indicating molybdenum and sulfur terminations. - Abstract: Here, we demonstrate the synthesis of monolayer molybdenum disulfide (MoS{sub 2}) ribbons and their branched structures by chemical vapor deposition (CVD) in sulfur-enriched environment. The growth of the MoS{sub 2} ribbons, triangular and other crystals significantly depends on the exposure of sulfur and concentration of molybdenum oxide (MoO{sub 3}) vapor on the substrate surface. The width and length of the synthesized ribbons is around 5–10 and 50–100 μm, respectively, where the width reduces from the nucleation point toward the end of the ribbon. Unidirectional, bi and tri-directional growth of ribbons from the nucleation point with an angle of 60° and 120° were obtained attributing to crystallographic growth orientation of MoS{sub 2} crystals. The directional growth of dichalcogenides ribbons is a significant challenge, our process shows that such unidirectional and other branched structures can be achieved by controlling the stoichiometric composition of MoO{sub 3} and sulfur exposure on the substrate surface. Interestingly, all the individual and branched ribbons possess uneven abundant edge structures, where the edges are formed with angles of 60° and 120°, indicating variation in molybdenum and sulfur edge terminations. The directional growth of MoS{sub 2} ribbons with defined edge structures in particular CVD condition can open up new possibilities for electronic and electrochemical applications.

  7. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  8. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  9. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  10. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  11. Preparation of Ti species coating hydrotalcite by chemical vapor deposition for photodegradation of azo dye.

    Science.gov (United States)

    Xiao, Gaofei; Zeng, HongYan; Xu, Sheng; Chen, ChaoRong; Zhao, Quan; Liu, XiaoJun

    2017-10-01

    TiO 2 in anatase crystal phase is a very effective catalyst in the photocatalytic oxidation of organic compounds in water. To improve its photocatalytic activity, the Ti-coating MgAl hydrotalcite (Ti-MgAl-LDH) was prepared by chemical vapor deposition (CVD) method. Response surface method (RSM) was employed to evaluate the effect of Ti species coating parameters on the photocatalytic activity, which was found to be affected by the furnace temperature, N 2 flow rate and influx time of precursor gas. Application of RSM successfully increased the photocatalytic efficiency of the Ti-MgAl-LDH in methylene blue photodegradation under UV irradiation, leading to improved economy of the process. According to the results from X-ray diffraction, scanning electron microscopy, Brunner-Emmet-Teller and Barrett-Joyner-Hallender, thermogravimetric and differential thermal analysis, UV-vis diffuse reflectance spectra analyses, the Ti species (TiO 2 or/and Ti 4+ ) were successfully coated on the MgAl-LDH matrix. The Ti species on the surface of the Ti-MgAl-LDH lead to a higher photocatalytic performance than commercial TiO 2 -P25. The results suggested that CVD method provided a new approach for the industrial preparation of Ti-coating MgAl-LDH material with good photocatalytic performances. Copyright © 2017. Published by Elsevier B.V.

  12. Coating of ceramic powders by chemical vapor deposition techniques (CVD)

    International Nuclear Information System (INIS)

    Haubner, R.; Lux, B.

    1997-01-01

    New ceramic materials with selected advanced properties can be designed by coating of ceramic powders prior to sintering. By variation of the core and coating material a large number of various powders and ceramic materials can be produced. Powders which react with the binder phase during sintering can be coated with stable materials. Thermal expansion of the ceramic materials can be adjusted by varying the coating thickness (ratio core/layer). Electrical and wear resistant properties can be optimized for electrical contacts. A fluidized bed reactor will be designed which allow the deposition of various coatings on ceramic powders. (author)

  13. Control of the nucleation and quality of graphene grown by low-pressure chemical vapor deposition with acetylene

    International Nuclear Information System (INIS)

    Yang, Meng; Sasaki, Shinichirou; Suzuki, Ken; Miura, Hideo

    2016-01-01

    Graphical abstract: - Highlights: • For the first time, we succeeded in the LPCVD growth of monolayer graphene using acetylene as the precursor gas. • The growth rate is very high when acetylene is used as the source gas. Our process has exhibited the potential to shorten the growth time of CVD graphene. • We found that the domain size, defects density, layer number and the sheet resistance of graphene can be changed by changing the acetylene flow rates. • We found that it is also possible to form bilayer graphene using acetylene. However, further study are necessary to reduce the defects density. - Abstract: Although many studies have reported the chemical vapor deposition (CVD) growth of large-area monolayer graphene from methane, synthesis of graphene using acetylene as the source gas has not been fully explored. In this study, the low-pressure CVD (LPCVD) growth of graphene from acetylene was systematically investigated. We succeeded in regulating the domain size, defects density, layer number and the sheet resistance of graphene by changing the acetylene flow rates. Scanning electron microscopy and Raman spectroscopy were employed to confirm the layer number, uniformity and quality of the graphene films. It is found that a low flow rate of acetylene (0.28 sccm) is required to form high-quality monolayer graphene in our system. On the other hand, the high acetylene flow rate (7 sccm) will induce the growth of the bilayer graphene domains with high defects density. On the basis of selected area electron diffraction (SAED) pattern, the as-grown monolayer graphene domains were analyzed to be polycrystal. We also discussed the relation between the sheet resistacne and defects density in graphene. Our results provide great insights into the understanding of the CVD growth of monolayer and bilayer graphene from acetylene.

  14. Control of the nucleation and quality of graphene grown by low-pressure chemical vapor deposition with acetylene

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Meng, E-mail: youmou@rift.mech.tohoku.ac.jp [Department of Nanomechanics, Graduate School of Engineering, Tohoku University, Sendai 980-8579 (Japan); Sasaki, Shinichirou [Department of Nanomechanics, Graduate School of Engineering, Tohoku University, Sendai 980-8579 (Japan); Suzuki, Ken; Miura, Hideo [Fracture and Reliability Research Institute, Tohoku University, Sendai 980-8579 (Japan)

    2016-03-15

    Graphical abstract: - Highlights: • For the first time, we succeeded in the LPCVD growth of monolayer graphene using acetylene as the precursor gas. • The growth rate is very high when acetylene is used as the source gas. Our process has exhibited the potential to shorten the growth time of CVD graphene. • We found that the domain size, defects density, layer number and the sheet resistance of graphene can be changed by changing the acetylene flow rates. • We found that it is also possible to form bilayer graphene using acetylene. However, further study are necessary to reduce the defects density. - Abstract: Although many studies have reported the chemical vapor deposition (CVD) growth of large-area monolayer graphene from methane, synthesis of graphene using acetylene as the source gas has not been fully explored. In this study, the low-pressure CVD (LPCVD) growth of graphene from acetylene was systematically investigated. We succeeded in regulating the domain size, defects density, layer number and the sheet resistance of graphene by changing the acetylene flow rates. Scanning electron microscopy and Raman spectroscopy were employed to confirm the layer number, uniformity and quality of the graphene films. It is found that a low flow rate of acetylene (0.28 sccm) is required to form high-quality monolayer graphene in our system. On the other hand, the high acetylene flow rate (7 sccm) will induce the growth of the bilayer graphene domains with high defects density. On the basis of selected area electron diffraction (SAED) pattern, the as-grown monolayer graphene domains were analyzed to be polycrystal. We also discussed the relation between the sheet resistacne and defects density in graphene. Our results provide great insights into the understanding of the CVD growth of monolayer and bilayer graphene from acetylene.

  15. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  16. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  17. The characteristics of photo-CVD SiO{sub 2} and its application on SiC MIS UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.H.; Chang, C.S.; Chang, S.J.; Su, Y.K.; Chiou, Y.Z.; Liu, S.H.; Huang, B.R

    2003-07-15

    SiO{sub 2} layers were deposited onto SiC by photo-chemical vapor deposition (photo-CVD) using deuterium (D{sub 2}) lamp as the excitation source. For the photo-SiO{sub 2} deposited 500 deg. C, interface state density (D{sub it}) was estimated to be 5.66x10{sup 11} cm{sup -2} eV{sup -1}. With an applied electric field of 4 MV cm{sup -1}, it was found that the leakage current was only 3.15x10{sup -8} A cm{sup -2} for the photo-CVD SiO{sub 2} layer prepared at 500 deg. C. It was also found that photo-SiO{sub 2} could effectively suppress dark current of SiC-based photodetectors (PDs). It was found that we could reduce dark current of SiC-based PDs by about three orders of magnitude by the insertion of a 5 nm-thick photo-CVD SiO{sub 2} film in between Indium-tin-oxide (ITO) contact and the underneath SiC. Photocurrent to dark current ratio of ITO/SiO{sub 2}/SiC MIS PDs was also found to be much larger than that of conventional ITO/SiC Schottky barrier PDs.

  18. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  19. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  20. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  1. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  2. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  3. Improvement on the electrochemical characteristics of graphite anodes by coating of the pyrolytic carbon using tumbling chemical vapor deposition

    International Nuclear Information System (INIS)

    Han, Young-Soo; Lee, Jai-Young

    2003-01-01

    The electrochemical characteristics of graphite coated with pyrolytic carbon materials using tumbling chemical vapor deposition (CVD) process have been studied for the active material of anodes in lithium ion secondary batteries. Coating of pyrolytic carbons on the surface of graphite particles, which tumble in a rotating reactor tube, was performed through the pyrolysis of liquid propane gas (LPG). The surface morphology of these graphite particles coated with pyrolytic carbon has been observed with scanning electron microscopy (SEM). The surface of graphite particles can well be covered with pyrolytic carbon by tumbling CVD. High-resolution transmission electron microscopy (HRTEM) image of these carbon particles shows that the core part is highly ordered carbon, while the shell part is disordered carbon. We have found that the new-type carbon obtained from tumbling CVD has a uniform core (graphite)-shell (pyrolytic carbon) structure. The electrochemical property of the new-type carbons has been examined using a charge-discharge cycler. The coating of pyrolytic carbon on the surface of graphite can effectively reduce the initial irreversible capacity by 47.5%. Cyclability and rate-capability of theses carbons with the core-shell structure are much better than those of bare graphite. From electrochemical impedance spectroscopy (EIS) spectra, it is found that the coating of pyrolytic carbon on the surface of graphite causes the decrease of the contact resistance in the carbon electrodes, which means the formation of solid electrolyte interface (SEI) layer is suppressed. We suggest that coating of pyrolytic carbon by the tumbling CVD is an effective method in improving the electrochemical properties of graphite electrodes for lithium ion secondary batteries

  4. Deposition uniformity, particle nucleation and the optimum conditions for CVD in multi-wafer furnaces

    Energy Technology Data Exchange (ETDEWEB)

    Griffiths, S.K.; Nilson, R.H.

    1996-06-01

    A second-order perturbation solution describing the radial transport of a reactive species and concurrent deposition on wafer surfaces is derived for use in optimizing CVD process conditions. The result is applicable to a variety of deposition reactions and accounts for both diffusive and advective transport, as well as both ordinary and Knudsen diffusion. Based on the first-order approximation, the deposition rate is maximized subject to a constraint on the radial uniformity of the deposition rate. For a fixed reactant mole fraction, the optimum pressure and optimum temperature are obtained using the method of Lagrange multipliers. This yields a weak one-sided maximum; deposition rates fall as pressures are reduced but remain nearly constant at all pressures above the optimum value. The deposition rate is also maximized subject to dual constraints on the uniformity and particle nucleation rate. In this case, the optimum pressure, optimum temperature and optimum reactant fraction are similarly obtained, and the resulting maximum deposition rate is well defined. These results are also applicable to CVI processes used in composites manufacturing.

  5. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  6. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  7. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  8. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  9. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  10. Properties, synthesis, and growth mechanisms of carbon nanotubes with special focus on thermal chemical vapor deposition.

    Science.gov (United States)

    Nessim, Gilbert D

    2010-08-01

    Carbon nanotubes (CNTs) have been extensively investigated in the last decade because their superior properties could benefit many applications. However, CNTs have not yet made a major leap into industry, especially for electronic devices, because of fabrication challenges. This review provides an overview of state-of-the-art of CNT synthesis techniques and illustrates their major technical difficulties. It also charts possible in situ analyses and new reactor designs that might enable commercialization. After a brief description of the CNT properties and of the various techniques used to synthesize substrate-free CNTs, the bulk of this review analyzes chemical vapor deposition (CVD). This technique receives special attention since it allows CNTs to be grown in predefined locations, provides a certain degree of control of the types of CNTs grown, and may have the highest chance to succeed commercially. Understanding the primary growth mechanisms at play during CVD is critical for controlling the properties of the CNTs grown and remains the major hurdle to overcome. Various factors that influence CNT growth receive a special focus: choice of catalyst and substrate materials, source gases, and process parameters. This review illustrates important considerations for in situ characterization and new reactor designs that may enable researchers to better understand the physical growth mechanisms and to optimize the synthesis of CNTs, thus contributing to make carbon nanotubes a manufacturing reality.

  11. Nanoscale multilayered and porous carbide interphases prepared by pressure-pulsed reactive chemical vapor deposition for ceramic matrix composites

    International Nuclear Information System (INIS)

    Jacques, S.; Jouanny, I.; Ledain, O.; Maillé, L.; Weisbecker, P.

    2013-01-01

    In Ceramic Matrix Composites (CMCs) reinforced by continuous fibers, a good toughness is achieved by adding a thin film called “interphase” between the fiber and the brittle matrix, which acts as a mechanical fuse by deflecting the matrix cracks. Pyrocarbon (PyC), with or without carbide sub-layers, is typically the material of choice to fulfill this role. The aim of this work was to study PyC-free nanoscale multilayered carbide coatings as interphases for CMCs. Nanoscale multilayered (SiC–TiC) n interphases were deposited by pressure-Pulsed Chemical Vapor Deposition (P-CVD) on single filament Hi-Nicalon fibers and embedded in a SiC matrix sheath. The thicknesses of the carbide interphase sub-layers could be made as low as a few nanometers as evidenced by scanning and transmission electron microscopy. By using the P-ReactiveCVD method (P-RCVD), in which the TiC growth involves consumption of SiC, it was not only possible to obtain multilayered (SiC–TiC) n films but also TiC films with a porous multilayered microstructure as a result of the Kirkendall effect. The porosity in the TiC sequences was found to be enhanced when some PyC was added to SiC prior to total RCVD consumption. Because the porosity volume fraction was still not high enough, the role of mechanical fuse of the interphases could not be evidenced from the tensile curves, which remained fully linear even when chemical attack of the fiber surface was avoided.

  12. Nanoscale multilayered and porous carbide interphases prepared by pressure-pulsed reactive chemical vapor deposition for ceramic matrix composites

    Science.gov (United States)

    Jacques, S.; Jouanny, I.; Ledain, O.; Maillé, L.; Weisbecker, P.

    2013-06-01

    In Ceramic Matrix Composites (CMCs) reinforced by continuous fibers, a good toughness is achieved by adding a thin film called "interphase" between the fiber and the brittle matrix, which acts as a mechanical fuse by deflecting the matrix cracks. Pyrocarbon (PyC), with or without carbide sub-layers, is typically the material of choice to fulfill this role. The aim of this work was to study PyC-free nanoscale multilayered carbide coatings as interphases for CMCs. Nanoscale multilayered (SiC-TiC)n interphases were deposited by pressure-Pulsed Chemical Vapor Deposition (P-CVD) on single filament Hi-Nicalon fibers and embedded in a SiC matrix sheath. The thicknesses of the carbide interphase sub-layers could be made as low as a few nanometers as evidenced by scanning and transmission electron microscopy. By using the P-ReactiveCVD method (P-RCVD), in which the TiC growth involves consumption of SiC, it was not only possible to obtain multilayered (SiC-TiC)n films but also TiC films with a porous multilayered microstructure as a result of the Kirkendall effect. The porosity in the TiC sequences was found to be enhanced when some PyC was added to SiC prior to total RCVD consumption. Because the porosity volume fraction was still not high enough, the role of mechanical fuse of the interphases could not be evidenced from the tensile curves, which remained fully linear even when chemical attack of the fiber surface was avoided.

  13. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  14. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  15. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    International Nuclear Information System (INIS)

    Shirahata, Takahiro; Kawaharamura, Toshiyuki; Fujita, Shizuo; Orita, Hiroyuki

    2015-01-01

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10"−"4 Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac)_2], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH_3 to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10"−"3 Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac)_2] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10"−"3 Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  16. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shirahata, Takahiro [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan); Kawaharamura, Toshiyuki [Research Institute, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); School of Systems Engineering, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); Fujita, Shizuo, E-mail: fujitasz@kuee.kyoto-u.ac.jp [Photonics and Electronics Science and Engineering Center, Kyoto University, Katsura, Nishikyo-ku, Kyoto 615-8520 (Japan); Orita, Hiroyuki [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan)

    2015-12-31

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10{sup −4} Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac){sub 2}], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH{sub 3} to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10{sup −3} Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac){sub 2}] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10{sup −3} Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  17. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  18. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  19. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  20. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  1. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  2. Dimensionless Numbers Expressed in Terms of Common CVD Process Parameters

    Science.gov (United States)

    Kuczmarski, Maria A.

    1999-01-01

    A variety of dimensionless numbers related to momentum and heat transfer are useful in Chemical Vapor Deposition (CVD) analysis. These numbers are not traditionally calculated by directly using reactor operating parameters, such as temperature and pressure. In this paper, these numbers have been expressed in a form that explicitly shows their dependence upon the carrier gas, reactor geometry, and reactor operation conditions. These expressions were derived for both monatomic and diatomic gases using estimation techniques for viscosity, thermal conductivity, and heat capacity. Values calculated from these expressions compared well to previously published values. These expressions provide a relatively quick method for predicting changes in the flow patterns resulting from changes in the reactor operating conditions.

  3. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  4. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  5. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  6. The CVD ZrB2 as a selective solar absorber

    Science.gov (United States)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  7. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  8. On the potential of Hg-Photo-CVD process for the low temperature growth of nano-crystalline silicon (Topical review)

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2005-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides an overview of this technique, with the emphasis on its potential in low temperature elaboration of nano-crystalline silicon for the development of thin films photovoltaic technology. (author)

  9. Influence of Gas Flow Rate on the Deposition Rate on Stainless Steel 202 Substrates

    OpenAIRE

    M.A. Chowdhury; D.M. Nuruzzaman

    2012-01-01

    Solid thin films have been deposited on stainless steel 202 (SS 202) substrates at different flow rates of natural gas using a hot filament thermal chemical vapor deposition (CVD) reactor. In the experiments, the variations of thin film deposition rate with the variation of gas flow rate have been investigated. The effects of gap between activation heater and substrate on the deposition rate have also been observed. Results show that deposition rate on SS 202 increases with the increase in g...

  10. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  11. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  12. Cat-CVD-prepared oxygen-rich μc-Si:H for wide-bandgap material

    International Nuclear Information System (INIS)

    Matsumoto, Yasuhiro; Ortega, Mauricio; Peza, Juan-Manuel; Reyes, Mario-Alfredo; Escobosa, Arturo

    2005-01-01

    Microcrystalline phase-involved oxygen-rich a-Si:H (hydrogenated amorphous silicon) films have been obtained using catalytic chemical vapor deposition (Cat-CVD) process. Pure SiH 4 (silane), H 2 (hydrogen), and O 2 (oxygen) gases were introduced in the chamber by maintaining a pressure of 0.1 Torr. A tungsten catalyzer was fixed at temperatures of 1750 and 1950 deg. C for film deposition on glass and crystalline silicon substrates at 200 deg. C. As revealed from X-ray diffraction spectra, the microcrystalline phase appears for oxygen-rich a-Si:H samples deposited at a catalyzer temperature of 1950 deg. C. However, this microcrystalline phase tends to disappear for further oxygen incorporation. The oxygen content in the deposited films was corroborated by FTIR analysis revealing Si-O-Si bonds and typical Si-H bonding structures. The optical bandgap of the sample increases from 2.0 to 2.7 eV with oxygen gas flow and oxygen incorporation to the deposited films. In the present thin film deposition conditions, no strong tungsten filament degradation was observed after a number of sample preparations

  13. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  14. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  15. Topographic and spectroscopic characterization of electronic edge states in CVD grown graphene nanoribbons.

    Science.gov (United States)

    Pan, Minghu; Girão, E Costa; Jia, Xiaoting; Bhaviripudi, Sreekar; Li, Qing; Kong, Jing; Meunier, V; Dresselhaus, Mildred S

    2012-04-11

    We used scanning tunneling microscopy and spectroscopy (STM/S) techniques to analyze the relationships between the edge shapes and the electronic structures in as-grown chemical vapor deposition (CVD) graphene nanoribbons (GNRs). A rich variety of single-layered graphene nanoribbons exhibiting a width of several to 100 nm and up to 1 μm long were studied. High-resolution STM images highlight highly crystalline nanoribbon structures with well-defined and clean edges. Theoretical calculations indicate clear spin-split edge states induced by electron-electron Coulomb repulsion. The edge defects can significantly modify these edge states, and different edge structures for both sides of a single ribbon produce asymmetric electronic edge states, which reflect the more realistic features of CVD grown GNRs. Three structural models are proposed and analyzed to explain the observations. By comparing the models with an atomic resolution image at the edge, a pristine (2,1) structure was ruled out in favor of a reconstructed edge structure composed of 5-7 member rings, showing a better match with experimental results, and thereby suggesting the possibility of a defective morphology at the edge of CVD grown nanoribbons. © 2012 American Chemical Society

  16. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  17. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  18. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  19. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  20. VOx effectively doping CVD-graphene for transparent conductive films

    Science.gov (United States)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  1. Growth and characterization of high-Tc Y1Ba2Cu3O7-x superconducting thin films by chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, A.

    1992-01-01

    In chapter I, the current status of high-Tc superconductors (especially Y 1 Ba 2 Cu 3 O 7-x ), their microstructures and their unique physical properties are reviewed. An introduction to the potential and importance of those high-Tc superconductors in practical applications, especially for the application of YBCO thin films in microelectronics, is given. A general description of the common YBCO thin film fabrication and characterization techniques is also presented in this first chapter. Chapter II describes a new CVD process, temperature-controlled chemical vapor deposition (TC-CVD) for the growth of YBCO superconducting thin films on substrates of practical importance, such as sapphire (Al 2 O 3 ) and on substrates of lattice matched perovskite-type single crystals, such as LaAlO 3 . In order to verify the viability of this new CVD process the qualities of YBCO superconducting thin films were examined by various characterization methods, such as resistivity vs. temperature (R vs. T), scanning electron microscopy (SEM), X-ray diffraction (XRD), and magnetic susceptibility (x) measurements. Chapter III deals with the effect of substrate temperature on the properties of YBCO thin films made by TC-CVD. The principle objective of this study is to raise the transition temperature and critical current densities of CVD YBCO superconducting thin films. Understanding the relations between YBCO film growth process and varying substrate temperatures proved to be crucial in reaching this goal. The authors present the characterization results of YBCO thin films produced by different temperature schemes, to illustrate the importance of varying substrate temperature during the film growth. In chapter IV, the Rutherford backscattering (RBS) channeling technique is described. They have used RBS channeling to characterize the epitaxial YBCO thin film's crystallinity and lattice alignment. Transmission electron microscopy studies are also included

  2. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  5. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  6. Structural and electronic characterization of graphene grown by chemical vapor deposition and transferred onto sapphire

    International Nuclear Information System (INIS)

    Joucken, Frédéric; Colomer, Jean-François; Sporken, Robert; Reckinger, Nicolas

    2016-01-01

    Highlights: • CVD graphene is transferred onto sapphire. • Transport measurements reveal relatively low charge carriers mobility. • Scanning probe microscopy experiments reveal the presence of robust contaminant layers between the graphene and the sapphire, responsible for the low carriers mobility. - Abstract: We present a combination of magnetotransport and local probe measurements on graphene grown by chemical vapor deposition on copper foil and subsequently transferred onto a sapphire substrate. A rather strong p-doping is observed (∼9 × 10 12 cm −2 ) together with quite low carrier mobility (∼1350 cm 2 /V s). Atomic force and tunneling imaging performed on the transport devices reveals the presence of contaminants between sapphire and graphene, explaining the limited performance of our devices. The transferred graphene displays ridges similar to those observed whilst graphene is still on the copper foil. We show that, on sapphire, these ridges are made of different thicknesses of the contamination layer and that, contrary to what was reported for hBN or certain transition metal dichalcogenides, no self-cleansing process of the sapphire substrate is observed.

  7. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    Science.gov (United States)

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  8. Superhydrophobic multi-scale ZnO nanostructures fabricated by chemical vapor deposition method.

    Science.gov (United States)

    Zhou, Ming; Feng, Chengheng; Wu, Chunxia; Ma, Weiwei; Cai, Lan

    2009-07-01

    The ZnO nanostructures were synthesized on Si(100) substrates by chemical vapor deposition (CVD) method. Different Morphologies of ZnO nanostructures, such as nanoparticle film, micro-pillar and micro-nano multi-structure, were obtained with different conditions. The results of XRD and TEM showed the good quality of ZnO crystal growth. Selected area electron diffraction analysis indicates the individual nano-wire is single crystal. The wettability of ZnO was studied by contact angle admeasuring apparatus. We found that the wettability can be changed from hydrophobic to super-hydrophobic when the structure changed from smooth particle film to single micro-pillar, nano-wire and micro-nano multi-scale structure. Compared with the particle film with contact angle (CA) of 90.7 degrees, the CA of single scale microstructure and sparse micro-nano multi-scale structure is 130-140 degrees, 140-150 degrees respectively. But when the surface is dense micro-nano multi-scale structure such as nano-lawn, the CA can reach to 168.2 degrees . The results indicate that microstructure of surface is very important to the surface wettability. The wettability on the micro-nano multi-structure is better than single-scale structure, and that of dense micro-nano multi-structure is better than sparse multi-structure.

  9. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  10. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  11. Selenium-assisted controlled growth of graphene–Bi_2Se_3 nanoplates hybrid Dirac materials by chemical vapor deposition

    International Nuclear Information System (INIS)

    Sun, Zhencui; Man, Baoyuan; Yang, Cheng; Liu, Mei; Jiang, Shouzhen; Zhang, Chao; Zhang, Jiaxin; Liu, Fuyan; Xu, Yuanyuan

    2016-01-01

    Graphical abstract: - Highlights: • We synthesize the graphene–Bi_2Se_3 nanoplates hybrid Dirac materials via CVD. • The Se seed layer impels the Bi_2Se_3 plates growing along the lateral direction. • The Se seed layer can supply enough Se atoms to fill the Se vacancies. • The Se seed layer can effectively avoid the interaction of Bi_2Se_3 and the graphene. • The Se seed layer can be used to control the density of the Bi_2Se_3 nanoplates. - Abstract: Se seed layers were used to synthesize the high-quality graphene–Bi_2Se_3 nanoplates hybrid Dirac materials via chemical vapor deposition (CVD) method. The morphology, crystallization and structural properties of the hybrid Dirac materials were characterized by SEM, EDS, Raman, XRD, AFM and HRTEM. The measurement results verify that the Se seed layer on the graphene surface can effectively saturate the surface dangling bonds of the graphene, which not only impel the uniform Bi_2Se_3 nanoplates growing along the horizontal direction but also can supply enough Se atoms to fill the Se vacancies. We also demonstrate the Se seed layer can effectively avoid the interaction of Bi_2Se_3 and the graphene. Further experiments testify the different Se seed layer on the graphene surface can be used to control the density of the Bi_2Se_3 nanoplates.

  12. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  13. Study on the low leakage current of an MIS structure fabricated by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw

    2008-03-15

    As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.

  14. A Scalable Route to Nanoporous Large-Area Atomically Thin Graphene Membranes by Roll-to-Roll Chemical Vapor Deposition and Polymer Support Casting.

    Science.gov (United States)

    Kidambi, Piran R; Mariappan, Dhanushkodi D; Dee, Nicholas T; Vyatskikh, Andrey; Zhang, Sui; Karnik, Rohit; Hart, A John

    2018-03-28

    Scalable, cost-effective synthesis and integration of graphene is imperative to realize large-area applications such as nanoporous atomically thin membranes (NATMs). Here, we report a scalable route to the production of NATMs via high-speed, continuous synthesis of large-area graphene by roll-to-roll chemical vapor deposition (CVD), combined with casting of a hierarchically porous polymer support. To begin, we designed and built a two zone roll-to-roll graphene CVD reactor, which sequentially exposes the moving foil substrate to annealing and growth atmospheres, with a sharp, isothermal transition between the zones. The configurational flexibility of the reactor design allows for a detailed evaluation of key parameters affecting graphene quality and trade-offs to be considered for high-rate roll-to-roll graphene manufacturing. With this system, we achieve synthesis of uniform high-quality monolayer graphene ( I D / I G casting and postprocessing, show size-selective molecular transport with performance comparable to that of membranes made from conventionally synthesized graphene. Therefore, this work establishes the feasibility of a scalable manufacturing process of NATMs, for applications including protein desalting and small-molecule separations.

  15. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  16. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  17. Electrical and thermal conductivity of low temperature CVD graphene: the effect of disorder

    International Nuclear Information System (INIS)

    Vlassiouk, Ivan; Datskos, Panos; Smirnov, Sergei; Ivanov, Ilia; Hensley, Dale; Lavrik, Nickolay V; Fulvio, Pasquale F; Dai Sheng; Meyer, Harry; Chi Miaofang

    2011-01-01

    In this paper we present a study of graphene produced by chemical vapor deposition (CVD) under different conditions with the main emphasis on correlating the thermal and electrical properties with the degree of disorder. Graphene grown by CVD on Cu and Ni catalysts demonstrates the increasing extent of disorder at low deposition temperatures as revealed by the Raman peak ratio, I G /I D . We relate this ratio to the characteristic domain size, L a , and investigate the electrical and thermal conductivity of graphene as a function of L a . The electrical resistivity, ρ, measured on graphene samples transferred onto SiO 2 /Si substrates shows linear correlation with L a -1 . The thermal conductivity, K, measured on the same graphene samples suspended on silicon pillars, on the other hand, appears to have a much weaker dependence on L a , close to K ∼ L a 1/3 . It results in an apparent ρ ∼ K 3 correlation between them. Despite the progressively increasing structural disorder in graphene grown at lower temperatures, it shows remarkably high thermal conductivity (10 2 -10 3 W K -1 m -1 ) and low electrical (10 3 -3 x 10 5 Ω) resistivities suitable for various applications.

  18. Deposition of tantalum carbide coatings on graphite by laser interactions

    Science.gov (United States)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  19. Simple method for the calculation and use of CVD phase diagrams with applications to the Ti-B-Cl-H system, 1200 to 8000K

    International Nuclear Information System (INIS)

    Randich, E.; Gerlach, T.M.

    1980-03-01

    A simple method for calculating multi-component gas-solid equilibrium phase diagrams for chemical vapor deposition (CVD) systems is presented. The method proceeds in three steps: dtermination of stable solid assemblages, evaluation of gas-solid stability relations, and calcuation of conventional phase diagrams using a new free energy minimization technique. The phase diagrams can be used to determine (1) bulk compositions and phase fields accessible by CVD techniques; (2) expected condensed phases for various starting gas mixtures; and (3) maximum equilibrium yields for specific CVD process variables. The three step thermodynamic method is used to calcuate phase diagrams for the example CVD system Ti-B-Cl-H at 1200 and 800 0 K. Examples of applications of the diagrams for yield optimization and experimental accessibility studies are presented and discussed. Experimental verification of the TiB 2 + Gas/Gas phase field boundary at 1200 0 K, H/Cl = 1 confirms the calculated boundary and indicates that equilibrium is nearly and rapidly approached under laboratory conditions

  20. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  1. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  2. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  3. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  4. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  5. Surface modification of pitch-based spherical activated carbon by CVD of NH3 to improve its adsorption to uric acid

    International Nuclear Information System (INIS)

    Liu Chaojun; Liang Xiaoyi; Liu Xiaojun; Wang Qin; Zhan Liang; Zhang Rui; Qiao Wenming; Ling Licheng

    2008-01-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3 -CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3 -CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3 -CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC , surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature

  6. Surface modification of pitch-based spherical activated carbon by CVD of NH 3 to improve its adsorption to uric acid

    Science.gov (United States)

    Liu, Chaojun; Liang, Xiaoyi; Liu, Xiaojun; Wang, Qin; Zhan, Liang; Zhang, Rui; Qiao, Wenming; Ling, Licheng

    2008-08-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  7. Synthesis of Nitrogen-Doped Carbon Nano tubes Using Injection-Vertical Chemical Vapor Deposition: Effects of Synthesis Parameters on the Nitrogen Content

    International Nuclear Information System (INIS)

    Hachimi, A.; Hakeem, A.; Merzougui, B.; Atieh, M. A.; Merzougui, B.; Atieh, M. A.; Laoui, A.; Swain, G.M.; Chang, Q.; Shao, M.

    2015-01-01

    Nitrogen-doped CNTs (N-CNTs) were synthesized using an injection-vertical chemical vapor deposition (IV-CVD) reactor. This type of reactor is quite useful for the continuous mass production of CNTs. In this work, the optimum deposition conditions for maximizing the incorporation of nitrogen were identified. Ferrocene served as the source of the Fe catalyst and was dissolved in acetonitrile, which served as both the hydrocarbon and nitrogen sources. Different concentrations of ferrocene in acetonitrile were introduced into the top of a vertically aligned reactor at a constant flow rate with hydrogen serving as the carrier. The effects of hydrogen flow rate, growth temperature, and catalyst loading (Fe from the ferrocene) on the microstructure, elemental composition, and yield of N-CNTs were investigated. The N-CNTs possessed a bamboo-like microstructure with a nitrogen doping level as high as 14 at.% when using 2.5 to 5 mg/m L of the ferrocene/acetonitrile mixture at 800 degree under a 1000 sccm flow of hydrogen. A production rate of 100 mg/h was achieved under the optimized synthesis conditions.

  8. A study on the basic CVD process technology for TRISO coated particle fuel

    International Nuclear Information System (INIS)

    Choi, D. J.; Cheon, J. H.; Keum, I. S.; Lee, H. S.; Kim, J. G.

    2006-03-01

    Hydrogen energy has many advantages and is suitable as alternative energy of fossil fuel. The study of nuclear hydrogen production has performed at present. For nuclear hydrogen production, it is needed the study of VHTR(Very High Temperature Reactor) and TRISO(TRI-iSOtropic) coated fuel. TRISO coated fuel particle deposited by FBCVD(Fludized Bed CVD) method is composed of three isotropic layers: Inner Pyrolytic Carbon (IPyC), Silicon Carbide (SiC), Outer Pyrolytic Carbon (OPyC) layers. Silicon carbide was chemically vapor deposed on graphite substrate using methyltrichlorosilane (CH 3 SiCl 3 ) as a source in hydrogen atmosphere. The effect of deposition temperature and input gas ratios ( α=Q H2 /Q MTS =P H2 /P MTS ) was investigated in order to find out characteristics of silicon carbide layer. From results of those, SiC-TRISO coating deposition was conducted and achieved. Zirconium carbide layer as an advanced material of silicon carbide layer has studied. In order to find out basic properties and characteristics, studies have conducted using various methods. Zirconium carbide is chemically vapor deposed subliming zirconium tetrachloride(ZrCl 4 ) and using methan(CH 4 ) as a source in hydrogen atmosphere. Many experiments were conducted on graphite substrate about many deposition conditions such as ZrCl 4 heating temperatures and variables of H2 and CH 4 flow rate. but carbon graphite was deposited. For deposition of zirconium carbide, several different methods were approached. so zirconium carbide deposed on ZrO 2 substrate. In this experiments. source subliming type and equipment are no problems. But deposition of zirconium carbide will be continuously studied on graphite substrate approaching views of experimental way and equipment structure

  9. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  10. Chemical vapor deposition of aminopropyl silanes in microfluidic channels for highly efficient microchip capillary electrophoresis-electrospray ionization-mass spectrometry.

    Science.gov (United States)

    Batz, Nicholas G; Mellors, J Scott; Alarie, Jean Pierre; Ramsey, J Michael

    2014-04-01

    We describe a chemical vapor deposition (CVD) method for the surface modification of glass microfluidic devices designed to perform electrophoretic separations of cationic species. The microfluidic channel surfaces were modified using aminopropyl silane reagents. Coating homogeneity was inferred by precise measurement of the separation efficiency and electroosmotic mobility for multiple microfluidic devices. Devices coated with (3-aminopropyl)di-isopropylethoxysilane (APDIPES) yielded near diffusion-limited separations and exhibited little change in electroosmotic mobility between pH 2.8 and pH 7.5. We further evaluated the temporal stability of both APDIPES and (3-aminopropyl)triethoxysilane (APTES) coatings when stored for a total of 1 week under vacuum at 4 °C or filled with pH 2.8 background electrolyte at room temperature. Measurements of electroosmotic flow (EOF) and separation efficiency during this time confirmed that both coatings were stable under both conditions. Microfluidic devices with a 23 cm long, serpentine electrophoretic separation channel and integrated nanoelectrospray ionization emitter were CVD coated with APDIPES and used for capillary electrophoresis (CE)-electrospray ionization (ESI)-mass spectrometry (MS) of peptides and proteins. Peptide separations were fast and highly efficient, yielding theoretical plate counts over 600,000 and a peak capacity of 64 in less than 90 s. Intact protein separations using these devices yielded Gaussian peak profiles with separation efficiencies between 100,000 and 400,000 theoretical plates.

  11. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  12. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  13. Characterization and cytocompatibility of carbon layers prepared by photo-induced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Kubová, O.; Švorčík, V.; Heitz, J.; Moritz, S.; Romanin, C.; Matějka, P.; Macková, Anna

    2007-01-01

    Roč. 515, č. 17 (2007), s. 6765-6772 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : Polytetrafluoroethylene * Carbon layer * CVD deposition * Layer properties * Cell proliferation Subject RIV: JJ - Other Materials Impact factor: 1.693, year: 2007

  14. Combustion chemical vapor deposition (CCVD) of LaPO4 monazite and beta-alumina on alumina fibers for ceramic matrix composites

    International Nuclear Information System (INIS)

    Hwang, T.J.; Hendrick, M.R.; Shao, H.; Hornis, H.G.; Hunt, A.T.

    1998-01-01

    This research used the low cost, open atmosphere combustion chemical vapor deposition (CCVD SM ) method to efficiently deposit protective coatings onto alumina fibers (3M Nextel TM 610) for use in ceramic matrix composites (CMCs). La-monazite (LaPO 4 ) and beta-alumina were the primary candidate debonding coating materials investigated. The coated fibers provide thermochemical stability, as well as desired debonding/sliding interface characteristics to the CMC. Dense and uniform La-phosphate coatings were obtained at deposition temperatures as low as 900-1000 C with minimal degradation of fibers. However, all of the β-alumina phases required high deposition temperatures and, thus, could not be applied onto the Nextel TM 610 alumina fibers. The fibers appeared to have complete and relatively uniform coatings around individual filaments when 420 and 1260 filament tows were coated via the CCVD process. Fibers up to 3 feet long were fed through the deposition flame in the laboratory of MicroCoating Technologies (MCT). TEM analyses performed at Wright-Patterson AFB on the CCVD coated fibers showed a 10-30 nm thick La-rich layer at the fiber/coating interface, and a layer of columnar monazite 0.1-1 μm thick covered with sooty carbon of <50 nm thick on the outside. A single strength test on CCVD coated fibers performed by 3M showed that the strength value fell in the higher end of data from other CVD coated samples. (orig.)

  15. Three dimensional graphene synthesis on nickel foam by chemical vapor deposition from ethylene

    International Nuclear Information System (INIS)

    Trinsoutrot, Pierre; Vergnes, Hugues; Caussat, Brigitte

    2014-01-01

    Highlights: • 3D multi-layers graphene networks were synthesized from ethylene on nickel foam. • The weight of graphene increased with run duration and when decreasing temperature. • Weight percentages of graphene as high as 15% were obtained. • A continuous mechanism of graphene formation probably exists in presence of ethylene. -- Abstract: 3D multi-layers graphene networks were synthesized on nickel foam from ethylene between 700 and 1000 °C by chemical vapor deposition. Large nickel foam substrates were used allowing the accurate measurement of graphene masses. The weight of graphene increased with run duration and when decreasing temperature. Graphene was also present inside the hollow branches of the foam. We demonstrated that the weights of graphene formed largely exceed the masses corresponding to carbon solubility into nickel. Indeed weight percentages of graphene as high as 15% were obtained, corresponding to graphene layers of 500 nm to 1 μm thick. This means that graphene formation could not be due only to carbon dissolution into nickel and then precipitation during the cooling step. Another mechanism probably co-exists, involving continuous graphene formation in presence of ethylene either by segregation from the dissolved carbon into nickel or by surface CVD growth

  16. Amorphous inclusions during Ge and GeSn epitaxial growth via chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gencarelli, F., E-mail: federica.gencarelli@imec.be [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium); Shimura, Y. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Kumar, A. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Vincent, B.; Moussa, A.; Vanhaeren, D.; Richard, O.; Bender, H. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, W. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Caymax, M.; Loo, R. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, M. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium)

    2015-09-01

    In this work, we discuss the characteristics of particular island-type features with an amorphous core that are developed during the low temperature epitaxial growth of Ge and GeSn layers by means of chemical vapor deposition with Ge{sub 2}H{sub 6}. Although further investigations are needed to unambiguously identify the origin of these features, we suggest that they are originated by the formation of clusters of H and/or contaminants atoms during growth. These would initially cause the formation of pits with crystalline rough facets over them, resulting in ring-shaped islands. Then, when an excess surface energy is overcome, an amorphous phase would nucleate inside the pits and fill them. Reducing the pressure and/or increasing the growth temperature can be effective ways to prevent the formation of these features, likely due to a reduction of the surface passivation from H and/or contaminant atoms. - Highlights: • Island features with amorphous cores develop during low T Ge(Sn) CVD with Ge{sub 2}H{sub 6.} • These features are thoroughly characterized in order to understand their origin. • A model is proposed to describe the possible evolution of these features. • Lower pressures and/or higher temperatures avoid the formation of these features.

  17. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  18. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  19. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  20. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  1. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  2. Aluminum-silicon co-deposition by FB-CVD on austenitic stainless steel AISI 316

    International Nuclear Information System (INIS)

    Marulanda, J L; Perez, F J; Remolina-Millán, A

    2013-01-01

    Aluminum-silicon coatings were deposited on stainless steel AISI 316 in the temperature range of 540 to 560°C by CVD-FBR. It was used a fluidized bed with 2.5% silicon and 7.5% aluminum powder and 90% inert (alumina). This bed was fluidized with Ar and as an activator a mixture of HCl/H2 in ratios of 1/10 to 1/16. Furthermore, the deposition time of the coatings was varied between 45 minutes to 1.5 hours, with a 50% active gas, neutral gases 50%. Thermodynamic simulation was conducted with the Thermocalc software to get the possible compositions and amount of material deposited for the chosen conditions. The coatings presented the follow compounds FeAl 2 Si, FeAl 2 and Fe 2 Al 5 . Aluminum-silicon coatings were heat treated to improve its mechanical properties and its behavior against oxidation for the inter diffusion of the alloying elements. The heat treatment causes the aluminum diffuse into the substrate and the iron diffuse into coating surface. This leads to the transformation of the above compounds in FeAl, Al 2 FeSi, Cr 3 Si, AlFeNi and AlCrFe

  3. Optimization of Al-CVD process based on elementary reaction simulation and experimental verification: From the growth rate to the surface morphology

    International Nuclear Information System (INIS)

    Sugiyama, Masakazu; Iino, Tomohisa; Nakajima, Tohru; Tanaka, Takeshi; Egashira, Yasuyuki; Yamashita, Kohichi; Komiyama, Hiroshi; Shimogaki, Yukihiro

    2006-01-01

    We propose a method to reduce the surface roughness of Al film in the chemical vapor deposition (CVD) using dimethyl-aluminum-hydride (DMAH) as the precursor. An elementary reaction simulation was executed not only to predict the deposition rate but also to predict the coverage of the film by surface adsorbates. It was assumed that high surface coverage is essential in order to deposit smooth films because the adsorbates protect the surface from oxidation which causes discontinuous growth of crystal grains. According to this principle, the condition, that realizes both high surface coverage and high deposition rate at the same time by using the elementary reaction simulation, was sought. A nozzle inlet was used instead of a conventional showerhead. This drastically improved the surface morphology, showing the effectiveness of this theoretical optimization procedure

  4. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  5. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  6. Enhanced intrinsic voltage gain in artificially stacked bilayer CVD graphene field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Himadri; Kataria, Satender [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); Aguirre-Morales, Jorge-Daniel; Fregonese, Sebastien; Zimmer, Thomas [IMS Laboratory, Centre National de la Recherche Scientifique, University of Bordeaux, Talence (France); Passi, Vikram [University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany); Iannazzo, Mario; Alarcon, Eduard [Technical University of Catalonia, Department of Electronics Engineering, UPC, Barcelona (Spain); Lemme, Max C. [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany)

    2017-11-15

    We report on electronic transport in dual-gate, artificially stacked bilayer graphene field effect transistors (BiGFETs) fabricated from large-area chemical vapor deposited (CVD) graphene. The devices show enhanced tendency to current saturation, which leads to reduced minimum output conductance values. This results in improved intrinsic voltage gain of the devices when compared to monolayer graphene FETs. We employ a physics based compact model originally developed for Bernal stacked bilayer graphene FETs (BSBGFETs) to explore the observed phenomenon. The improvement in current saturation may be attributed to increased charge carrier density in the channel and thus reduced saturation velocity due to carrier-carrier scattering. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  8. Deposition and modification of tantalum carbide coatings on graphite by laser interactions

    International Nuclear Information System (INIS)

    Veligdan, J.; Branch, D.; Vanier, P.E.; Barletta, R.E.

    1992-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 degrees C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing, involved the use of a CO 2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl 5 gas near the substrate. Results of preliminary experiments using these techniques are described

  9. Synthesis of Nitrogen-Doped Carbon Nanotubes Using Injection-Vertical Chemical Vapor Deposition: Effects of Synthesis Parameters on the Nitrogen Content

    Directory of Open Access Journals (Sweden)

    Abdouelilah Hachimi

    2015-01-01

    Full Text Available Nitrogen-doped CNTs (N-CNTs were synthesized using an injection-vertical chemical vapor deposition (IV-CVD reactor. This type of reactor is quite useful for the continuous mass production of CNTs. In this work, the optimum deposition conditions for maximizing the incorporation of nitrogen were identified. Ferrocene served as the source of the Fe catalyst and was dissolved in acetonitrile, which served as both the hydrocarbon and nitrogen sources. Different concentrations of ferrocene in acetonitrile were introduced into the top of a vertically aligned reactor at a constant flow rate with hydrogen serving as the carrier. The effects of hydrogen flow rate, growth temperature, and catalyst loading (Fe from the ferrocene on the microstructure, elemental composition, and yield of N-CNTs were investigated. The N-CNTs possessed a bamboo-like microstructure with a nitrogen doping level as high as 14 at.% when using 2.5 to 5 mg/mL of the ferrocene/acetonitrile mixture at 800°C under a 1000 sccm flow of hydrogen. A production rate of 100 mg/h was achieved under the optimized synthesis conditions.

  10. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction; Electrocatalizadores a base de platino, cobalto y niquel preparados por aleado mecanico y CVD para la reaccion de reduccion de oxigeno

    Energy Technology Data Exchange (ETDEWEB)

    Garcia C, M A [ININ, 52750 La Marquesa, Estado de Mexico (Mexico)

    2008-07-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H{sub 2}SO{sub 4} 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H{sub 2}O{sub 2}. All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic

  11. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  12. Growth and Properties of Cl- Incorporated ZnO Nanofilms Grown by Ultrasonic Spray-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Chen, Tingfang; Wang, Aiji; Kong, Lingrui; Li, Yongliang; Wang, Yinshu

    2016-04-01

    Pure and Cl- incorporated ZnO nanofilms were grown by the ultrasonic spray-assisted chemical vapor deposition (CVD) method. The properties of the nanofilms were investigated. The effects of growth temperature and Cl- concentration on the crystal structure, morphology, and optical properties of the nanofilms were studied. Temperature plays an important role in the growth mode and morphology of the pure nanofilms. Preferential growth along the c-axis occurs only at modulating temperature. Lower temperature suppresses the preferential growth, and higher temperature suppresses the growth of the nanofilms. The morphologies of the nanofilms change from lamellar and spherical structures into hexagonal platelets, then into separated nanoparticles with an increase in the temperature. Incorporating Cl- results in the lattice contracting gradually along with c-axis. Grains composing the nanofilms refine, and the optical gap broadens with increasing of Cl- concentration in growth precursor. Incorporating Cl- could reduce oxygen vacancies and passivate the non-irradiated centers, thus enhancing the UV emission and suppressing the visible emission of ZnO nanofilms.

  13. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  14. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  15. Vapor phase modification of sol-gel derived titania (TiO{sub 2}) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Piwonski, Ireneusz [University of Lodz, Department of Chemical Technology and Environmental Protection, Pomorska 163, 90-236 Lodz (Poland)]. E-mail: irek@uni.lodz.pl; Ilik, Aneta [University of Lodz, Department of Chemical Technology and Environmental Protection, Pomorska 163, 90-236 Lodz (Poland)

    2006-12-30

    Chemical vapor deposition (CVD) method was used in titania surface modification. Titania layers were obtained in sol-gel process and prepared as thin films on silicon wafers in dip-coating method. In order to define the influence of modification on titania surface properties (e.g., friction), various types of fluoroalkylsilanes were used. The effectiveness of the modification was monitored by FT-IR spectroscopy. The topography and frictional measurements were investigated with the use of atomic force microscopy (AFM)

  16. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  17. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  18. Drastic reduction in the surface recombination velocity of crystalline silicon passivated with catalytic chemical vapor deposited SiNx films by introducing phosphorous catalytic-doped layer

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2014-01-01

    We improve the passivation property of n-type crystalline silicon (c-Si) surface passivated with a catalytic chemical vapor deposited (Cat-CVD) Si nitride (SiN x ) film by inserting a phosphorous (P)-doped layer formed by exposing c-Si surface to P radicals generated by the catalytic cracking of PH 3 molecules (Cat-doping). An extremely low surface recombination velocity (SRV) of 2 cm/s can be achieved for 2.5 Ω cm n-type (100) floating-zone Si wafers passivated with SiN x /P Cat-doped layers, both prepared in Cat-CVD systems. Compared with the case of only SiN x passivated layers, SRV decreases from 5 cm/s to 2 cm/s. The decrease in SRV is the result of field effect created by activated P atoms (donors) in a shallow P Cat-doped layer. Annealing process plays an important role in improving the passivation quality of SiN x films. The outstanding results obtained imply that SiN x /P Cat-doped layers can be used as promising passivation layers in high-efficiency n-type c-Si solar cells.

  19. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction

    International Nuclear Information System (INIS)

    Garcia C, M. A.

    2008-01-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H 2 SO 4 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H 2 O 2 . All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic electrolyte PtCoNi 70

  20. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS2 domains

    International Nuclear Information System (INIS)

    Hao, Song; Yang, Bingchu; Gao, Yongli

    2016-01-01

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS 2 domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS 2 domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS 2 crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS 2 single crystals. The thickness of triangle and polygon shape MoS 2 crystals is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS 2 crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS 2 -based devices.

  2. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  3. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS_2 grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaplan, D.; Swaminathan, V.; Mills, K.; Lee, J.; Torrel, S.

    2016-01-01

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS_2 grown by chemical vapor deposition (CVD) on SiO_2/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS_2 monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS_2 monolayers can be leveraged for various device applications.

  4. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  5. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  6. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  7. Chemical vapor deposition of three aminosilanes on silicon dioxide: surface characterization, stability, effects of silane concentration, and cyanine dye adsorption.

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Larsen, Adam M; Findley, Daniel A; Davis, Robert C; Samha, Hussein; Linford, Matthew R

    2010-09-21

    Covalently bonded monolayers of two monofunctional aminosilanes (3-aminopropyldimethylethoxysilane, APDMES, and 3-aminopropyldiisopropylethoxysilane, APDIPES) and one trifunctional aminosilane (3-aminopropyltriethoxysilane, APTES) have been deposited on dehydrated silicon substrates by chemical vapor deposition (CVD) at 150 °C and low pressure (a few Torr) using reproducible equipment. Standard surface analytical techniques such as x-ray photoelectron spectroscopy (XPS), contact angle goniometry, spectroscopic ellipsometry, atomic force microscopy, and time-of-flight secondary ion mass spectroscopy (ToF-SIMS) have been employed to characterize the resulting films. These methods indicate that essentially constant surface coverages are obtained over a wide range of gas phase concentrations of the aminosilanes. XPS data further indicate that the N1s/Si2p ratio is higher after CVD with the trifunctional silane (APTES) compared to the monofunctional ones, with a higher N1s/Si2p ratio for APDMES compared to that for APDIPES. AFM images show an average surface roughness of 0.12- 0.15 nm among all three aminosilane films. Stability tests indicate that APDIPES films retain most of their integrity at pH 10 for several hours and are more stable than APTES or APDMES layers. The films also showed good stability against storage in the laboratory. ToF-SIMS of these samples showed expected peaks, such as CN(-), as well as CNO(-), which may arise from an interaction between monolayer amine groups and silanols. Optical absorption measurements on adsorbed cyanine dye at the surface of the aminosilane films show the formation of dimer aggregates on the surface. This is further supported by ellipsometry measurements. The concentration of dye on each surface appears to be consistent with the density of the amines.

  8. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  9. Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD.

    Science.gov (United States)

    Ge, Wanyin; Kawahara, Kenji; Tsuji, Masaharu; Ago, Hiroki

    2013-07-07

    We report ambient pressure chemical vapor deposition (CVD) growth of single-crystalline NbS2 nanosheets with controlled orientation. On Si and SiO2 substrates, NbS2 nanosheets grow almost perpendicular to the substrate surface. However, when we apply transferred CVD graphene on SiO2 as a substrate, NbS2 sheets grow laterally lying on the graphene. The NbS2 sheets show the triangular and hexagonal shapes with a thickness of about 20-200 nm and several micrometres in the lateral dimension. Analyses based on X-ray diffraction and Raman spectroscopy indicate that the NbS2 nanosheets are single crystalline 3R-type with a rhombohedral structure of R3m space group. Our findings on the formation of highly aligned NbS2 nanosheets on graphene give new insight into the formation mechanism of NbS2 and would contribute to the templated growth of various layered materials.

  10. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  11. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  12. Synthesis and Optimization of MWCNTs on Co-Ni/MgO by Thermal CVD

    Directory of Open Access Journals (Sweden)

    H. Ryu

    2008-01-01

    Full Text Available Multiwalled carbon nanotubes (MWCNTs were prepared by the thermal chemical vapor deposition (CVD technique. Monometallic and bimetallic Co and Ni combinations were used as a catalyst on MgO support. The mixer of H2/C2H2 was used as a carbon source. The prepared CNTs were found to possess different shapes, morphologies, and sizes. Maximum yield was found for 50% Co (MgO: 50% and Ni: 0% catalyst at 600°C. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (HRTEM techniques were used for structural analysis. Raman spectra were taken to investigate the quality and crystalline perfection of the prepared CNTs. The ratio of D- and G-bands (ID/IG was measured from these spectra.

  13. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  14. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  15. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  16. Organic solar cells using CVD-grown graphene electrodes

    International Nuclear Information System (INIS)

    Kim, Hobeom; Han, Tae-Hee; Lim, Kyung-Geun; Lee, Tae-Woo; Bae, Sang-Hoon; Ahn, Jong-Hyun

    2014-01-01

    We report on the development of flexible organic solar cells (OSCs) incorporating graphene sheets synthesized by chemical vapor deposition (CVD) as transparent conducting electrodes on polyethylene terephthalate (PET) substrates. A key barrier that must be overcome for the successful fabrication of OSCs with graphene electrodes is the poor-film properties of water-based poly(3,4-ethylenedioxythiphene):poly(styrenesulfonate) (PEDOT:PSS) when coated onto hydrophobic graphene surfaces. To form a uniform PEDOT:PSS film on a graphene surface, we added perfluorinated ionomers (PFI) to pristine PEDOT:PSS to create ‘GraHEL’, which we then successfully spin coated onto the graphene surface. We systematically investigated the effect of number of layers in layer-by-layer stacked graphene anode of an OSC on the performance parameters including the open-circuit voltage (V oc ), short-circuit current (J sc ), and fill factor (FF). As the number of graphene layers increased, the FF tended to increase owing to lower sheet resistance, while J sc tended to decrease owing to the lower light absorption. In light of this trade-off between sheet resistance and transmittance, we determined that three-layer graphene (3LG) represents the best configuration for obtaining the optimal power conversion efficiency (PCE) in OSC anodes, even at suboptimal sheet resistances. We finally developed efficient, flexible OSCs with a PCE of 4.33%, which is the highest efficiency attained so far by an OSC with CVD-grown graphene electrodes to the best of our knowledge. (paper)

  17. A new CVD diamond mosaic-detector for (n, α) cross-section measurements at the n{sub T}OF experiment at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Weiß, C., E-mail: christina.weiss@cern.ch [Atominstitut, Technische Universität Wien (Austria); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Griesmayer, E. [Atominstitut, Technische Universität Wien (Austria); Guerrero, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Altstadt, S. [Johann-Wolfgang-Goethe Universität, Frankfurt (Germany); Andrzejewski, J. [Uniwersytet Łódzki, Lodz (Poland); Audouin, L. [Centre National de la Recherche Scientifique/IN2P3 - IPN, Orsay (France); Badurek, G. [Atominstitut, Technische Universität Wien (Austria); Barbagallo, M. [Istituto Nazionale di Fisica Nucleare, Bari (Italy); Bécares, V. [Centro de Investigaciones Energeticas Medioambientales y Tecnológicas (CIEMAT), Madrid (Spain); Bečvář, F. [Charles University, Prague (Czech Republic); Belloni, F. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); Berthoumieux, E. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Billowes, J. [University of Manchester, Oxford Road, Manchester (United Kingdom); Boccone, V. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Bosnar, D. [Department of Physics, Faculty of Science, University of Zagreb (Croatia); Brugger, M.; Calviani, M. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Calviño, F. [Universitat Politecnica de Catalunya, Barcelona (Spain); and others

    2013-12-21

    At the n{sub T}OF experiment at CERN a dedicated single-crystal chemical vapor deposition (sCVD) Diamond Mosaic-Detector has been developed for (n,α) cross-section measurements. The detector, characterized by an excellent time and energy resolution, consists of an array of 9 sCVD diamond diodes. The detector has been characterized and a cross-section measurement has been performed for the {sup 59}Ni(n,α){sup 56}Fe reaction in 2012. The characteristics of the detector, its performance and the promising preliminary results of the experiment are presented. -- Highlights: •A large-area detector of 3 ×3 sCVD diamonds was built for (n, α) measurements. •The {sup 59}Ni(n, α){sup 56}Fe cross-section was measured successfully at n{sub T}OF/CERN. •The energy resolution of the detector meets the expectations from simulations. •The reaction products during the measurement at n{sub T}OF could clearly be separated. •The detector is suitable for (n, α) measurements in a heterogeneous beam.

  18. Surface modification of pitch-based spherical activated carbon by CVD of NH{sub 3} to improve its adsorption to uric acid

    Energy Technology Data Exchange (ETDEWEB)

    Liu Chaojun [State Key Laboratory of Chemical Engineering, East China University of Science and Technology (ECUST), Shanghai 200237 (China); Liang Xiaoyi [State Key Laboratory of Chemical Engineering, East China University of Science and Technology (ECUST), Shanghai 200237 (China)], E-mail: xyliang@ecust.edu.cn; Liu Xiaojun; Wang Qin; Zhan Liang; Zhang Rui; Qiao Wenming; Ling Licheng [State Key Laboratory of Chemical Engineering, East China University of Science and Technology (ECUST), Shanghai 200237 (China)

    2008-08-30

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH{sub 3} (NH{sub 3}-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N{sub 2} adsorption, pH{sub PZC} (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH{sub 3}-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH{sub 3}-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH{sub PZC}, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  19. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS{sub 2} domains

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Song; Yang, Bingchu, E-mail: bingchuyang@csu.edu.cn [College of Physics and Electronics, Institute of Super Microstructure and Ultrafast Process in Advanced Materials, Central South University, 605 South Lushan Road, Changsha 410012 (China); Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012 (China); Gao, Yongli [College of Physics and Electronics, Institute of Super Microstructure and Ultrafast Process in Advanced Materials, Central South University, 605 South Lushan Road, Changsha 410012 (China); Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012 (China); Department of Physics and Astronomy, University of Rochester, Rochester, New York 14534 (United States)

    2016-08-28

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS{sub 2} domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS{sub 2} domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS{sub 2} crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS{sub 2} single crystals. The thickness of triangle and polygon shape MoS{sub 2} crystals is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS{sub 2} crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS{sub 2}-based devices.

  20. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  1. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  2. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  3. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  4. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  5. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  7. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  8. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  9. The CVD graphene transfer procedure introduces metallic impurities which alter the graphene electrochemical properties.

    Science.gov (United States)

    Ambrosi, Adriano; Pumera, Martin

    2014-01-07

    High quality graphene films can be fabricated by chemical vapor deposition (CVD) using Ni and Cu as catalytic substrates. Such a synthesis procedure always requires a subsequent transfer process to be performed in order to eliminate the metallic substrate and transfer the graphene onto the desired surface. We show here that such a transfer process causes significant contamination of the graphene film with residual Fe and Ni metal impurities. Fe contamination derives from the use of Fe-based etching solutions to dissolve Ni (or Cu) substrates, while residual Ni (or Cu) is due to an incomplete metal substrate etching. The presence of these metallic impurities within the transferred graphene film affects tremendously its electrochemical behavior when adopted as an electrode material.

  10. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  11. Modelling and analysis of CVD processes for ceramic membrane preparation

    NARCIS (Netherlands)

    Brinkman, H.W.; Cao, G.Z.; Meijerink, J.; de Vries, Karel Jan; Burggraaf, Anthonie

    1993-01-01

    A mathematical model is presented that describes the modified chemical vapour deposition (CVD) process (which takes place in advance of the electrochemical vapour deposition (EVD) process) to deposit ZrO2 inside porous media for the preparation and modification of ceramic membranes. The isobaric

  12. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  13. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  14. Functional materials - Study of process for CVD SiC/C composite material

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Doo Jin; Wang, Chae Chyun; Lee, Young Jin; Oh, Byung Jun [Yonsei University, Seoul (Korea)

    2000-04-01

    The CVD SiC coating techniques are the one of high functional material manufactures that improve the thermal, wear, oxidization and infiltration resistance of the surface of raw materials and extend the life of material. Silicon carbide films have been grown onto graphite substrates by low pressure chemical vapor deposition using MTS(CH{sub 3}SiCl{sub 3}) as a source precursor and H{sub 2} or N{sub 2} as a diluent gas. The experiments for temperature and diluent gas addition changes were performed. The effect of temperature from 900 deg. C to 1350 deg. C and the alteration of diluent gas species on the growth rate and structure of deposits have been studied. The experimental results showed that the deposition rate increased with increasing deposition temperature irrespective of diluent gases and reactant depletion effect increased especially at H{sub 2} diluent gas ambient. As the diluent gas added, the growth rate decreased parabolically. For N{sub 2} addition, surface morphology of leaf-like structure appeared, and for H{sub 2}, faceted structure at 1350 deg. C. The observed features were involved by crystalline phase of {beta}-SiC and surface composition with different gas ambient. We also compared the experimental results of the effect of partial pressure on the growth rate with the results of theoretical approach based on the Langmuir-Hinshelwood model. C/SiC composites were prepared by isothermal chemical vapor infiltration (ICVI). In order to fabricate the more dense C/SiC composites, a novel process of the in-situ whisker growing and filling during ICVI was devised, which was manipulated by alternating dilute gas species. The denser C/SiC composites were successfully prepared by the novel process comparing with the conventional ICVI process. 64 refs., 36 figs., 5 tabs. (Author)

  15. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  16. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  17. Investigation of the fluidized bed-chemical vapor deposition (FBCVD) process using CFD-DEM method

    International Nuclear Information System (INIS)

    Liu Malin; Liu Rongzheng; Wen Yuanyun; Liu Bing; Shao Youlin

    2014-01-01

    The CFD-DEM-CVD multiscale coupling simulation concept was proposed based on the mass/momentum/energy transfer involved in the FB-CVD process. The pyrolysis process of the reaction gas in the spouted bed can be simulated by CFD method, then the concentration field and velocity field can be extracted and coupled with the particle movement behavior which can be simulated by DEM. Particle deposition process can be described by the CVD model based on particle position, velocity and neighboring gas concentration. This multiscale coupling method can be implemented in the Fluent@-EDEM@ software with their UDF (User Definition Function) and API (Application Programming Interface). Base on the multiscale coupling concept, the criterion for evaluating FB-CVD process is given. At first, the volume in the coating furnace is divided into two parts (active coating area and non-active coating area) based on simulation results of chemical pyrolysis process. Then the residence time of all particles in the active coating area can be obtained using the CFD-DEM simulation method. The residence time distribution can be used as a criterion for evaluating the gas-solid contact efficiency and operation performance of the coating furnace. At last different coating parameters of the coating furnace are compared based on the proposed criterion. And also, the future research emphasis is discussed. (author)

  18. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  19. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  20. Physically Unclonable Cryptographic Primitives by Chemical Vapor Deposition of Layered MoS2.

    Science.gov (United States)

    Alharbi, Abdullah; Armstrong, Darren; Alharbi, Somayah; Shahrjerdi, Davood

    2017-12-26

    Physically unclonable cryptographic primitives are promising for securing the rapidly growing number of electronic devices. Here, we introduce physically unclonable primitives from layered molybdenum disulfide (MoS 2 ) by leveraging the natural randomness of their island growth during chemical vapor deposition (CVD). We synthesize a MoS 2 monolayer film covered with speckles of multilayer islands, where the growth process is engineered for an optimal speckle density. Using the Clark-Evans test, we confirm that the distribution of islands on the film exhibits complete spatial randomness, hence indicating the growth of multilayer speckles is a spatial Poisson process. Such a property is highly desirable for constructing unpredictable cryptographic primitives. The security primitive is an array of 2048 pixels fabricated from this film. The complex structure of the pixels makes the physical duplication of the array impossible (i.e., physically unclonable). A unique optical response is generated by applying an optical stimulus to the structure. The basis for this unique response is the dependence of the photoemission on the number of MoS 2 layers, which by design is random throughout the film. Using a threshold value for the photoemission, we convert the optical response into binary cryptographic keys. We show that the proper selection of this threshold is crucial for maximizing combination randomness and that the optimal value of the threshold is linked directly to the growth process. This study reveals an opportunity for generating robust and versatile security primitives from layered transition metal dichalcogenides.

  1. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  2. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  3. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  4. Fibrous hydroxyapatite–carbon nanotube composites by chemical vapor deposition: In situ fabrication, structural and morphological characterization

    International Nuclear Information System (INIS)

    Kosma, Vassiliki; Tsoufis, Theodoros; Koliou, Theodora; Kazantzis, Antonios; Beltsios, Konstantinos; De Hosson, Jeff Th. M.; Gournis, Dimitrios

    2013-01-01

    Highlights: ► CNTs synthesized on fibrous HA surfaces supporting Fe–Co bi- metallic catalysts by CVD. ► CNTs are rooted on HA distinct needle-like monocrystals and needle spherulitic aggregates. ► Reaction temperature and metal loading are critical parameters for CNT production. -- Abstract: Fibrous hydroxyapatite (HA)–carbon nanotube composites were synthesized by the catalytic decomposition of acetylene over Fe–Co bimetallic catalysts supported on the fibrous HA. Two forms of fibrous HA (distinct needle-like monocrystals and spherulitic aggregates of needles) were synthesized using a simple precipitation method and loaded with bimetallic catalysts (from 2 up to 20 wt%) by a wet chemical impregnation method. The HA supported catalysts were evaluated for the in situ growth of carbon nanotubes using the catalytic chemical vapor deposition method. The effect of reaction temperature and metal loading on the yield, structural perfection and morphology of the carbon products were investigated using a combination of X-ray diffraction, thermal analysis, Raman spectroscopy and scanning and transmission electron microscopies. The results revealed that both the selection of the growing conditions and the metal loading determine the yield and overall quality of the synthesized carbon nanotubes, which exhibit high graphitization degree when synthesized in high yields

  5. Fibrous hydroxyapatite–carbon nanotube composites by chemical vapor deposition: In situ fabrication, structural and morphological characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kosma, Vassiliki; Tsoufis, Theodoros; Koliou, Theodora [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece); Kazantzis, Antonios [Department of Applied Physics, Zernike Institute for Advanced Materials, University of Groningen, Nijenborgh 4, NL-9747AG Groningen (Netherlands); Beltsios, Konstantinos [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece); De Hosson, Jeff Th. M. [Department of Applied Physics, Zernike Institute for Advanced Materials, University of Groningen, Nijenborgh 4, NL-9747AG Groningen (Netherlands); Gournis, Dimitrios, E-mail: dgourni@cc.uoi.gr [Department of Materials Science and Engineering, University of Ioannina, GR-45110 Ioannina (Greece)

    2013-04-20

    Highlights: ► CNTs synthesized on fibrous HA surfaces supporting Fe–Co bi- metallic catalysts by CVD. ► CNTs are rooted on HA distinct needle-like monocrystals and needle spherulitic aggregates. ► Reaction temperature and metal loading are critical parameters for CNT production. -- Abstract: Fibrous hydroxyapatite (HA)–carbon nanotube composites were synthesized by the catalytic decomposition of acetylene over Fe–Co bimetallic catalysts supported on the fibrous HA. Two forms of fibrous HA (distinct needle-like monocrystals and spherulitic aggregates of needles) were synthesized using a simple precipitation method and loaded with bimetallic catalysts (from 2 up to 20 wt%) by a wet chemical impregnation method. The HA supported catalysts were evaluated for the in situ growth of carbon nanotubes using the catalytic chemical vapor deposition method. The effect of reaction temperature and metal loading on the yield, structural perfection and morphology of the carbon products were investigated using a combination of X-ray diffraction, thermal analysis, Raman spectroscopy and scanning and transmission electron microscopies. The results revealed that both the selection of the growing conditions and the metal loading determine the yield and overall quality of the synthesized carbon nanotubes, which exhibit high graphitization degree when synthesized in high yields.

  6. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  7. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  8. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  9. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  10. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  11. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  12. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  13. Synthesis of graphene on nickel films by CVD method using methane

    International Nuclear Information System (INIS)

    Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos

    2011-01-01

    Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)

  14. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    Science.gov (United States)

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  15. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  16. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  17. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  18. Synthesis and characterization of graphene layers prepared by low-pressure chemical vapor deposition using triphenylphosphine as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Mastrapa, G.C.; Maia da Costa, M.E.H. Maia [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Larrude, D.G., E-mail: dunigl@vdg.fis.puc-rio.br [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Freire, F.L. [Departamento de Física, Pontifícia Universidade Católica do Rio de Janeiro, 22451-900, Rio de Janeiro, RJ (Brazil); Brazilian Center for Physical Research, 22290-180, Rio de Janeiro, RJ (Brazil)

    2015-09-15

    The synthesis of a single-layer graphene using a low-pressure Chemical Vapor Deposition (CVD) system with triphenylphosphine as precursor is reported. The amount of triphenylphosphine used as precursor was in the range of 10–40 mg. Raman spectroscopy was employed to analyze samples prepared with 10 mg of the precursor, and these spectra were found typical of graphene. The Raman measurements indicate that the progressive degradation of graphene occurs as the amount of triphenylphosphine increases. X-ray photoelectron spectroscopy measurements were performed to investigate the different chemical environments involving carbon and phosphorous atoms. Scanning electron microscopy and transmission electron microscopy were also employed and the results reveal the formation of dispersed nanostructures on top of the graphene layer, In addition, the number of these nanostructures is directly related to the amount of precursor used for sample growth. - Highlights: • We grow graphene using the solid precursor triphenylphosphine. • Raman analysis confirms the presence of monolayer graphene. • SEM images show the presence of small dark areas dispersed on the graphene surface. • Raman I{sub D}/I{sub G} ratio increases in the dark region of the graphene surface.

  19. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS{sub 2} grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaplan, D.; Swaminathan, V. [U.S. Army RDECOM-ARDEC, Fuze Precision Armaments and Technology Directorate, Picatinny Arsenal, New Jersey 07806 (United States); Mills, K. [U.S. Army RDECOM-ARDEC, Energetics, Warheads and Manufacturing Technology Directorate, Picatinny Arsenal, New Jersey 07806 (United States); Lee, J. [Agency for Defense Development, Yuseong, P.O. Box 35, Daejeon, 305-600 (Korea, Republic of); Torrel, S. [Department of Materials Science and Engineering, Piscataway, Rutgers, The State University of New Jersey, New Jersey 08854 (United States)

    2016-06-07

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS{sub 2} grown by chemical vapor deposition (CVD) on SiO{sub 2}/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS{sub 2} monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS{sub 2} monolayers can be leveraged for various device applications.

  20. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  1. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  2. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  3. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  4. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  5. Thermodynamic calculations for chemical vapor deposition of silicon carbide using ethyltrichlorosilane

    International Nuclear Information System (INIS)

    Nakano, Junichi; Yamada, Reiji

    1995-06-01

    The computer code SOLGASMIX-PV, which is based on the free energy minimization method, was used to calculate the equilibrium composition of the C 2 H 5 SiCl 3 -H 2 -Ar system. In the C 2 H 5 SiCl 3 -H 2 system, the calculation results showed that β-SiC+C, β-SiC, β-SiC+Si(1), Si(1), β-SiC+Si(s), and Si(s) would be deposited, whereas β-SiC+C and C would be deposited in the C 2 H 5 SiCl 3 -Ar system. By comparing the calculated results with the experimental results from the literature, in the region calculated as β-SiC+C to be deposited, β-SiC+C, β-SiC, or β-SiC+Si(s) was deposited in the experiments. The calculations revealed that the gas mole ratios for CVD were optimum when the (Ar+H 2 )/C 2 H 5 SiCl 3 took a value between 1000 and 10000, and the Ar/H 2 between 0.43 and 1.5. The deposition temperature was optimum between 1100-1500K. In this region, the Si atoms were most effectively used as source materials, and formed a single phase of β-SiC on the substrate. (author)

  6. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  7. The impacts of growth temperature on morphologies, compositions and optical properties of Mg-doped ZnO nanomaterials by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, X.H., E-mail: wangxh@sdju.edu.cn [School of Mechanical Engineering, Shanghai Dianji University, 1201 Jiang Chuan Road, Shanghai 200245 (China); Huang, L.Q.; Niu, L.J.; Li, R.B. [School of Mechanical Engineering, Shanghai Dianji University, 1201 Jiang Chuan Road, Shanghai 200245 (China); Fan, D.H. [Institute of Functional Materials Research, Department of Mathematics and Physics, Wuyi University, Jiangmen 529020 (China); Zhang, F.B.; Chen, Z.W.; Wang, X.; Guo, Q.X. [Department of Electrical and Electronic Engineering, Synchrotron Light Application Center, Saga University, Saga 840-8502 (Japan)

    2015-02-15

    Highlights: • Mg-doped ZnO nanomaterials were fabricated by chemical vapor deposition (CVD). • Growth temperature determines the characteristics of Zn{sub 1-x}Mg{sub x}O nanomaterials. • The modulation of band gap is caused by Mg addition. - Abstract: The Mg-doped ZnO (Zn{sub 1-x}Mg{sub x}O) nanomaterials with different morphologies of nanoparticles, partially opened nanowire-on-spherical shells, hemispheric shells and chain-like nanoparticles were synthesized at 750, 850, 900 and 1000 °C by a simple chemical vapor deposition. The energy dispersive X-ray (EDX) measurements indicate that Mg content increases from 2.87 at.% to 5.01 at.% with the increase of growth temperature from 750 to 1000 °C. The measurement results of X-ray diffraction (XRD) show that the (0 0 2) peaks of Zn{sub 1-x}Mg{sub x}O nanomaterials shift to higher diffraction angle with the increase of Mg content, implying that Mg{sup 2+} is substituted into Zn{sup 2+} site. The absorption spectra at room temperature exhibit that the band gap of the Mg-doped ZnO nanomaterials increases with the Mg concentration, illustrating that the modulation of band gap is caused by Mg addition. The PL measurements show that UV peak from Zn{sub 1-x}Mg{sub x}O nanomaterials is shifted towards lower wavelength side (blue shift) from 381 nm to 372 nm with the increase of the Mg dopant content. The room-temperature Raman spectra show that the crystal quality of the Zn{sub 1-x}Mg{sub x}O nanomaterials is improved with the increase of growth temperature, and the Mg dopants do not decrease the crystal quality of ZnO nanomaterials.

  8. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  9. CVD-Graphene-Based Flexible, Thermoelectrochromic Sensor

    Directory of Open Access Journals (Sweden)

    Adam Januszko

    2017-01-01

    Full Text Available The main idea behind this work was demonstrated in a form of a new thermoelectrochromic sensor on a flexible substrate using graphene as an electrically reconfigurable thermal medium (TEChrom™. Our approach relies on electromodulation of thermal properties of graphene on poly(ethylene terephthalate (PET via mechanical destruction of a graphene layer. Graphene applied in this work was obtained by chemical vapor deposition (CVD technique on copper substrate and characterized by Raman and scanning tunneling spectroscopy. Electrical parameters of graphene were evaluated by the van der Pauw method on the transferred graphene layers onto SiO2 substrates by electrochemical delamination method. Two configurations of architecture of sensors, without and with the thermochromic layer, were investigated, taking into account the increase of voltage from 0 to 50 V and were observed by thermographic camera to define heat energy. Current-voltage characteristics obtained for the sensor with damaged graphene layer are linear, and the resistivity is independent from the current applied. The device investigated under 1000 W/m2 exhibited rise of resistivity along with increased temperature. Flexible thermoelectrochromic device with graphene presented here can be widely used as a sensor for both the military and civil monitoring.

  10. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  11. Direct growth of self-crystallized graphene and graphite nanoballs with Ni vapor-assisted growth: from controllable growth to material characterization.

    Science.gov (United States)

    Yen, Wen-Chun; Chen, Yu-Ze; Yeh, Chao-Hui; He, Jr-Hau; Chiu, Po-Wen; Chueh, Yu-Lun

    2014-05-09

    A directly self-crystallized graphene layer with transfer-free process on arbitrary insulator by Ni vapor-assisted growth at growth temperatures between 950 to 1100 °C via conventional chemical vapor deposition (CVD) system was developed and demonstrated. Domain sizes of graphene were confirmed by Raman spectra from ~12 nm at growth temperature of 1000 °C to ~32 nm at growth temperature of 1100 °C, respectively. Furthermore, the thickness of the graphene is controllable, depending on deposition time and growth temperature. By increasing growth pressure, the growth of graphite nano-balls was preferred rather than graphene growth. The detailed formation mechanisms of graphene and graphite nanoballs were proposed and investigated in detail. Optical and electrical properties of graphene layer were measured. The direct growth of the carbon-based materials with free of the transfer process provides a promising application at nanoelectronics.

  12. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  13. The Effect of Alumina and Magnesia Supported Germanium Nanoparticles on the Growth of Carbon Nanotubes in the Chemical Vapor Deposition Method

    Directory of Open Access Journals (Sweden)

    Ghazaleh Allaedini

    2015-01-01

    Full Text Available The effect of alumina and magnesia supported germanium (Ge nanoparticles on the synthesis of carbon nanotubes (CNTs using the chemical vapor deposition (CVD method in atmospheric pressure was investigated. The TEM micrographs confirmed the formation of carbon nanotubes, and the field emission scanning electron microscopy (FESEM analysis suggested a tip-growth mechanism for the grown carbon nanotubes. The X-ray diffraction (XRD pattern indicated a graphitic nature of the carbon nanotubes. The obtained CNTs using Ge nanoparticles supported by MgO resulted in a higher degree of graphitization than the CNTs obtained using Ge nanoparticles supported by Al2O3. Raman spectroscopy analysis of the CNTs confirmed the presence of radial breathing modes (RBM, which verified the formation of CNTs. High frequency Raman analysis demonstrated that the degree of graphitization of the synthesized CNTs using magnesia supported Ge nanoparticles is higher than that of the alumina supported Ge nanoparticles with the values of (ID/IG ratios equal to 0.45 and 0.73, respectively.

  14. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  15. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  16. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  17. Synthesis and characterization of hafnium carbide microcrystal chains with a carbon-rich shell via CVD

    International Nuclear Information System (INIS)

    Tian, Song; Li, Hejun; Zhang, Yulei; Liu, Sen; Fu, Yangxi; Li, Yixian; Qiang, Xinfa

    2013-01-01

    Graphical abstract: Novel HfC microcrystal chains have been synthesized via a catalyst-assisted chemical vapor deposition process. SEM results show the chains have a periodically changing diameter and a nanoscale sharpening tip. Analysis of TEM/SAED/EELS/EDX data shows the single-crystal chains grow along a [0 0 1] direction and consist of a HfC core and a thin carbon-rich shell with embedded HfC nanocrystallites surrounding the core. This work achieves the controllable preparation of nanoscale HfC sharpening tips for application as a point electron emission source and facilitates the application of HfC ultrafast laser-triggered tips in attosecond science. Highlights: •HfC microcrystal chains were synthesized by a catalyst-assisted CVD. •The chains grow along a [0 0 1] direction and have a periodically changing diameter. •Single-crystal HfC core is sheathed by a thin carbon-rich shell. •A growth mechanism model is proposed to explain the growth of microcrystal chians. •This work achieves the controllable preparation of nanoscale HfC sharpening tips. -- Abstract: Novel hafnium carbide (HfC) microcrystal chains, with a periodically changing diameter and a nanoscale sharpening tip at the chain end, have been synthesized via a catalyst-assisted chemical vapor deposition (CVD) process. The as-synthesized chains with many octahedral microcrystals have diameters of between several hundreds of nm and 6 μm and lengths of ∼500 μm. TEM diffraction studies show that the chains are single-crystalline HfC and preferentially grow along a [0 0 1] crystal orientation. TEM/EELS/EDX analysis proves the chains are composed of a HfC core and a thin (several tens of nm to 100 nm) carbon-rich shell with the embedded HfC nanocrystallites (typically below 10 nm) surrounding the core. The growth mechanism model for the chains based on the vapor–liquid–solid process, the vapor–solid process, and the HfC crystal growth characteristics is discussed

  18. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  19. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  20. Thermal shock testing of low-Z coatings with pulsed hydrogen beams

    International Nuclear Information System (INIS)

    Nakamura, Kazuyuki

    1982-03-01

    Thermal shock testing of candidate low-Z surface coatings for JT-60 application has been made by using a pulsed hydrogen beam apparatus which is operated at a power density of 2KW/cm 2 . The materials tested are PVD (Physical Vapor Deposited) TiC and PVD and CVD (Chemical Vapor Deposited) TiN on molybdenum and Inconel 625. The result shows that CVD TiC on Mo and CVD TiN on Inconel are the most interesting choices for the coating-substrate combinations. (author)