WorldWideScience

Sample records for ultraviolet euv lithography

  1. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  2. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  3. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  4. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  5. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  6. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  7. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  8. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  9. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  10. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  11. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  12. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  13. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  14. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  15. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  16. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  17. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  18. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  19. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  20. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  1. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  2. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    CERN Document Server

    Pagani, C; Schneidmiller, E A; Yurkov, M V

    2001-01-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andr...

  3. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  4. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  5. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  6. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  7. Large-solid-angle illuminators for extreme ultraviolet lithography with laser plasmas

    International Nuclear Information System (INIS)

    Kubiak, G.D.; Tichenor, D.A.; Sweatt, W.C.; Chow, W.W.

    1995-06-01

    Laser Plasma Sources (LPSS) of extreme ultraviolet radiation are an attractive alternative to synchrotron radiation sources for extreme ultraviolet lithography (EUVL) due to their modularity, brightness, and modest size and cost. To fully exploit the extreme ultraviolet power emitted by such sources, it is necessary to capture the largest possible fraction of the source emission half-sphere while simultaneously optimizing the illumination stationarity and uniformity on the object mask. In this LDRD project, laser plasma source illumination systems for EUVL have been designed and then theoretically and experimentally characterized. Ellipsoidal condensers have been found to be simple yet extremely efficient condensers for small-field EUVL imaging systems. The effects of aberrations in such condensers on extreme ultraviolet (EUV) imaging have been studied with physical optics modeling. Lastly, the design of an efficient large-solid-angle condenser has been completed. It collects 50% of the available laser plasma source power at 14 nm and delivers it properly to the object mask in a wide-arc-field camera

  8. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  9. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  10. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  11. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  12. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  13. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  14. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  15. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  16. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  17. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  18. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  19. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  20. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  1. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  2. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  3. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  4. Elastic modulus of Extreme Ultraviolet exposed single-layer graphene

    NARCIS (Netherlands)

    Mund, Baibhav Kumar; Gao, An; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2015-01-01

    Highly transparent membranes are required for a number of applications, such as protective coatings for components in Extreme Ultraviolet (EUV) lithography, beam splitters (EUV pump-probe experiments), transmission gratings, and reticles. Graphene is an excellent candidate due to its high tensile

  5. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  6. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  7. Extreme ultraviolet lithography: A few more pieces of the puzzle

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Christopher N. [Univ. of California, Berkeley, CA (United States)

    2009-05-20

    The work described in this dissertation has improved three essential components of extreme ultraviolet (EUV) lithography: exposure tools, photoresist, and metrology. Exposure tools. A field-averaging illumination stage is presented that enables nonuniform, high-coherence sources to be used in applications where highly uniform illumination is required. In an EUV implementation, it is shown that the illuminator achieves a 6.5% peak-to-valley intensity variation across the entire design field of view. In addition, a design for a stand-alone EUV printing tool capable of delivering 15 nm half-pitch sinusoidal fringes with available sources, gratings and nano-positioning stages is presented. It is shown that the proposed design delivers a near zero line-edge-rougness (LER) aerial image, something extremely attractive for the application of resist testing. Photoresist. Two new methods of quantifying the deprotection blur of EUV photoresists are described and experimentally demonstrated. The deprotection blur, LER, and sensitivity parameters of several EUV photoresists are quantified simultaneously as base weight percent, photoacid generator (PAG) weight percent, and post-exposure bake (PEB) temperature are varied. Two surprising results are found: (1) changing base weight percent does not significantly affect the deprotection blur of EUV photoresist, and (2) increasing PAG weight percent can simultaneously reduce LER and E-size in EUV photoresist. The latter result motivates the development of an EUV exposure statistics model that includes the effects of photon shot noise, the PAG spatial distribution, and the changing of the PAG distribution during the exposure. In addition, a shot noise + deprotection blur model is used to show that as deprotection blur becomes large relative to the size of the printed feature, LER reduction from improved counting statistics becomes dominated by an increase in LER due to reduced deprotection contrast. Metrology. Finally, this

  8. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  9. Study of CD variation caused by the black border effect and out-of-band radiation in extreme ultraviolet lithography

    Science.gov (United States)

    Gao, Weimin; Niroomand, Ardavan; Lorusso, Gian F.; Boone, Robert; Lucas, Kevin; Demmerle, Wolfgang

    2014-04-01

    Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1× nm half pitch node and beyond, many technological burdens have to be overcome. The "field edge effect" in EUVL is one of them. The image border region of an EUV mask, also known as the "black border" (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on critical dimension (CD) uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multilayer at the image border region of the EUV mask. We present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional "flare level" at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the IMEC's NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation, we can also determine the OoB effect rigorously using the methodology of an "effective mask blank." The study demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.

  10. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  11. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  12. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  13. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  14. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  15. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  16. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  17. Design, fabrication, and characterization of high-efficiency extreme ultraviolet diffusers

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2004-02-19

    As the development of extreme ultraviolet (EUV) lithography progresses, interest grows in the extension of traditional optical components to the EUV regime. The strong absorption of EUV by most materials and its extremely short wavelength, however, makes it very difficult to implement many components that are commonplace in the longer wavelength regimes. One such example is the diffuser often implemented with ordinary ground glass in the visible light regime. Here we demonstrate the fabrication of reflective EUV diffusers with high efficiency within a controllable bandwidth. Using these techniques we have fabricated diffusers with efficiencies exceeding 10% within a moderate angular single-sided bandwidth of approximately 0.06 radians.

  18. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  19. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  20. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  1. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  2. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  3. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  4. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  5. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  6. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  7. Characterization of carbon contamination under ion and hot atom bombardment in a tin-plasma extreme ultraviolet light source

    NARCIS (Netherlands)

    Dolgov, A.; Lopaev, D.; Lee, Christopher James; Zoethout, E.; Medvedev, Viacheslav; Yakushev, O.; Bijkerk, Frederik

    2015-01-01

    Molecular contamination of a grazing incidence collector for extreme ultraviolet (EUV) lithography was experimentally studied. A carbon film was found to have grown under irradiation from a pulsed tin plasma discharge. Our studies show that the film is chemically inert and has characteristics that

  8. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  9. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  10. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  11. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  12. Extreme ultraviolet patterning of tin-oxo cages

    Science.gov (United States)

    Haitjema, Jarich; Zhang, Yu; Vockenhuber, Michaela; Kazazis, Dimitrios; Ekinci, Yasin; Brouwer, Albert M.

    2017-07-01

    We report on the extreme ultraviolet (EUV) patterning performance of tin-oxo cages. These cage molecules were already known to function as a negative tone photoresist for EUV radiation, but in this work, we significantly optimized their performance. Our results show that sensitivity and resolution are only meaningful photoresist parameters if the process conditions are optimized. We focus on contrast curves of the materials using large area EUV exposures and patterning of the cages using EUV interference lithography. It is shown that baking steps, such as postexposure baking, can significantly affect both the sensitivity and contrast in the open-frame experiments as well as the patterning experiments. A layer thickness increase reduced the necessary dose to induce a solubility change but decreased the patterning quality. The patterning experiments were affected by minor changes in processing conditions such as an increased rinsing time. In addition, we show that the anions of the cage can influence the sensitivity and quality of the patterning, probably through their effect on physical properties of the materials.

  13. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  14. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  15. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    Science.gov (United States)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  16. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  17. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  18. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  19. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  20. Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks

    International Nuclear Information System (INIS)

    Spector, S. J.; White, D. L.; Tennant, D. M.; Ocola, L. E.; Novembre, A. E.; Peabody, M. L.; Wood, O. R. II

    1999-01-01

    We have developed two new methods for at-wavelength inspection of mask blanks for extreme-ultraviolet (EUV) lithography. In one method an EUV photoresist is applied directly to a mask blank which is then flood exposed with EUV light and partially developed. In the second method, the photoresist is applied to an EUV transparent membrane that is placed in close proximity to the mask and then exposed and developed. Both reflectivity defects and phase defects alter the exposure of the resist, resulting in mounds of resist at defect sites that can then be located by visual inspection. In the direct application method, a higher contrast resist was shown to increase the height of the mounds, thereby improving the sensitivity of the technique. In the membrane method, a holographic technique was used to reconstruct an image of the mask, revealing the presence of very small defects, approximately 0.2 μm in size. The demonstrated clean transfer of phase and amplitude defects to resist features on a membrane will be important when flagging defects in an automatic inspection tool. (c) 1999 American Vacuum Society

  1. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  2. Design and performance of capping layers for extreme-ultraviolet multilayer mirrors

    International Nuclear Information System (INIS)

    Bajt, Sasa; Chapman, Henry N.; Nguyen, Nhan; Alameda, Jennifer; Robinson, Jeffrey C.; Malinowski, Michael; Gullikson, Eric; Aquila, Andrew; Tarrio, Charles; Grantham, Steven

    2003-01-01

    Multilayer lifetime has emerged as one of the major issues for the commercialization of extreme-ultraviolet lithography (EUVL). We describe the performance of an oxidation-resistant capping layer of Ru atop multilayers that results in a reflectivity above 69% at 13.2 nm, which is suitable for EUVL projection optics and has been tested with accelerated electron-beam and extreme-ultraviolet (EUV) light in a water-vapor environment. Based on accelerated exposure results, we calculated multilayer lifetimes for all reflective mirrors in a typical commercial EUVL tool and concluded that Ru-capped multilayers have ∼40x longer lifetimes than Si-capped multilayers, which translates to 3 months to many years, depending on the mirror dose

  3. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  4. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  5. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  6. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  7. Extreme Ultraviolet Explorer Bright Source List

    Science.gov (United States)

    Malina, Roger F.; Marshall, Herman L.; Antia, Behram; Christian, Carol A.; Dobson, Carl A.; Finley, David S.; Fruscione, Antonella; Girouard, Forrest R.; Hawkins, Isabel; Jelinsky, Patrick

    1994-01-01

    Initial results from the analysis of the Extreme Ultraviolet Explorer (EUVE) all-sky survey (58-740 A) and deep survey (67-364 A) are presented through the EUVE Bright Source List (BSL). The BSL contains 356 confirmed extreme ultraviolet (EUV) point sources with supporting information, including positions, observed EUV count rates, and the identification of possible optical counterparts. One-hundred twenty-six sources have been detected longward of 200 A.

  8. Optical proximity correction for anamorphic extreme ultraviolet lithography

    Science.gov (United States)

    Clifford, Chris; Lam, Michael; Raghunathan, Ananthan; Jiang, Fan; Fenger, Germain; Adam, Kostas

    2017-10-01

    The change from isomorphic to anamorphic optics in high numerical aperture (NA) extreme ultraviolet (EUV) scanners necessitates changes to the mask data preparation flow. The required changes for each step in the mask tape out process are discussed, with a focus on optical proximity correction (OPC). When necessary, solutions to new problems are demonstrated, and verified by rigorous simulation. Additions to the OPC model include accounting for anamorphic effects in the optics, mask electromagnetics, and mask manufacturing. The correction algorithm is updated to include awareness of anamorphic mask geometry for mask rule checking (MRC). OPC verification through process window conditions is enhanced to test different wafer scale mask error ranges in the horizontal and vertical directions. This work will show that existing models and methods can be updated to support anamorphic optics without major changes. Also, the larger mask size in the Y direction can result in better model accuracy, easier OPC convergence, and designs which are more tolerant to mask errors.

  9. Extreme Ultraviolet Process Optimization for Contact Layer of 14 nm Node Logic and 16 nm Half Pitch Memory Devices

    Science.gov (United States)

    Tseng, Shih-En; Chen, Alek

    2012-06-01

    Extreme ultraviolet (EUV) lithography is considered the most promising single exposure technology at the 27 nm half-pitch node and beyond. The imaging performance of ASML TWINSCAN NXE:3100 has been demonstrated to be able to resolve 26 nm Flash gate layer and 16 nm static random access memory (SRAM) metal layer with a 0.25 numerical aperture (NA) and conventional illumination. Targeting for high volume manufacturing, ASML TWINSCAN NXE:3300B, featuring a 0.33 NA lens with off-axis illumination, will generate a higher contrast aerial image due to improved diffraction order collection efficiency and is expected to reduce target dose via mask biasing. This work performed a simulation to determine how EUV high NA imaging benefits the mask rule check trade-offs required to achieve viable lithography solutions in two device application scenarios: a 14 nm node 6T-SRAM contact layer and a 16 nm half-pitch NAND Flash staggered contact layer. In each application, the three-dimensional mask effects versus Kirchhoff mask were also investigated.

  10. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  11. Thermal deformation prediction in reticles for extreme ultraviolet lithography based on a measurement-dependent low-order model

    NARCIS (Netherlands)

    Bikcora, C.; Weiland, S.; Coene, W.M.J.

    2014-01-01

    In extreme ultraviolet lithography, imaging errors due to thermal deformation of reticles are becoming progressively intolerable as the source power increases. Despite this trend, such errors can be mitigated by adjusting the wafer and reticle stages based on a set of predicted deformation-induced

  12. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  13. Coherence techniques at extreme ultraviolet wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chang [Univ. of California, Berkeley, CA (United States)

    2002-01-01

    The renaissance of Extreme Ultraviolet (EUV) and soft x-ray (SXR) optics in recent years is mainly driven by the desire of printing and observing ever smaller features, as in lithography and microscopy. This attribute is complemented by the unique opportunity for element specific identification presented by the large number of atomic resonances, essentially for all materials in this range of photon energies. Together, these have driven the need for new short-wavelength radiation sources (e.g. third generation synchrotron radiation facilities), and novel optical components, that in turn permit new research in areas that have not yet been fully explored. This dissertation is directed towards advancing this new field by contributing to the characterization of spatial coherence properties of undulator radiation and, for the first time, introducing Fourier optical elements to this short-wavelength spectral region. The first experiment in this dissertation uses the Thompson-Wolf two-pinhole method to characterize the spatial coherence properties of the undulator radiation at Beamline 12 of the Advanced Light Source. High spatial coherence EUV radiation is demonstrated with appropriate spatial filtering. The effects of small vertical source size and beamline apertures are observed. The difference in the measured horizontal and vertical coherence profile evokes further theoretical studies on coherence propagation of an EUV undulator beamline. A numerical simulation based on the Huygens-Fresnel principle is performed.

  14. Method for the protection of extreme ultraviolet lithography optics

    Science.gov (United States)

    Grunow, Philip A.; Clift, Wayne M.; Klebanoff, Leonard E.

    2010-06-22

    A coating for the protection of optical surfaces exposed to a high energy erosive plasma. A gas that can be decomposed by the high energy plasma, such as the xenon plasma used for extreme ultraviolet lithography (EUVL), is injected into the EUVL machine. The decomposition products coat the optical surfaces with a protective coating maintained at less than about 100 .ANG. thick by periodic injections of the gas. Gases that can be used include hydrocarbon gases, particularly methane, PH.sub.3 and H.sub.2S. The use of PH.sub.3 and H.sub.2S is particularly advantageous since films of the plasma-induced decomposition products S and P cannot grow to greater than 10 .ANG. thick in a vacuum atmosphere such as found in an EUVL machine.

  15. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  16. A study on EUV reticle surface molecular contamination under different storage conditions in a HVM foundry fab

    Science.gov (United States)

    Singh, SherJang; Yatzor, Brett; Taylor, Ron; Wood, Obert; Mangat, Pawitter

    2017-03-01

    The prospect of EUVL (Extreme Ultraviolet Lithography) insertion into HVM (High Volume Manufacturing) has never been this promising. As technology is prepared for "lab to fab" transition, it becomes important to comprehend challenges associated with integrating EUVL infrastructure within existing high volume chip fabrication processes in a foundry fab. The existing 193nm optical lithography process flow for reticle handling and storage in a fab atmosphere is well established and in-fab reticle contamination concerns are mitigated with the reticle pellicle. However EUVL reticle pellicle is still under development and if available, may only provide protection against particles but not molecular contamination. HVM fab atmosphere is known to be contaminated with trace amounts of AMC's (Atmospheric Molecular Contamination). If such contaminants are organic in nature and get absorbed on the reticle surface, EUV photon cause photo-dissociation resulting into carbon generation which is known to reduce multilayer reflectivity and also degrades exposure uniformity. Chemical diffusion and aggregation of other ions is also reported under the e-beam exposure of a EUV reticle which is known to cause haze issues in optical lithography. Therefore it becomes paramount to mitigate absorbed molecular contaminant concerns on EUVL reticle surface. In this paper, we have studied types of molecular contaminants that are absorbed on an EUVL reticle surface under HVM fab storage and handling conditions. Effect of storage conditions (gas purged vs atmospheric) in different storage pods (Dual pods, Reticle Clamshells) is evaluated. Absorption analysis is done both on ruthenium capping layer as well as TaBN absorber. Ru surface chemistry change as a result of storage is also studied. The efficacy of different reticle cleaning processes to remove absorbed contaminant is evaluated as well.

  17. EUV lithography : historical perspective and road ahead

    NARCIS (Netherlands)

    Banine, V.Y.

    2014-01-01

    Lithography, in the form of carved type printing, can be dated as far back as the 3rd century AD. Starting from the 19th century it played a major role as the basis for dissemination and preservation of knowledge in the form of printed books, maps, newspapers, etc. In the mid 20th century, with the

  18. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  19. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  20. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  1. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  2. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    Science.gov (United States)

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  3. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  4. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  5. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  6. Metal Hydride assited contamination on Ru/Si surfaces

    NARCIS (Netherlands)

    Pachecka, Malgorzata; Lee, Christopher James; Sturm, Jacobus Marinus; Bijkerk, Frederik

    2013-01-01

    In extreme ultraviolet lithography (EUVL) residual tin, in the form of particles, ions, and atoms, can be deposited on nearby EUV optics. During the EUV pulse, a reactive hydrogen plasma is formed, which may be able to react with metal contaminants, creating volatile and unstable metal hydrides that

  7. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    Science.gov (United States)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  8. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  9. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  10. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  11. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  12. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  13. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  14. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  15. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  16. Properites of ultrathin films appropriate for optics capping layers in extreme ultraviolet lithography (EUVL)

    Energy Technology Data Exchange (ETDEWEB)

    Bajt, S; Edwards, N V; Madey, T E

    2007-06-25

    The contamination of optical surfaces by irradiation shortens optics lifetime and is one of the main concerns for optics used in conjunction with intense light sources, such as high power lasers, 3rd and 4th generation synchrotron sources or plasma sources used in extreme ultraviolet lithography (EUVL) tools. This paper focuses on properties and surface chemistry of different materials, which as thin layers, could be used as capping layers to protect and extend EUVL optics lifetime. The most promising candidates include single element materials such as ruthenium and rhodium, and oxides such as TiO{sub 2} and ZrO{sub 2}.

  17. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  18. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  19. Resist image quality control via acid diffusion constant and/or photodecomposable quencher concentration in the fabrication of 11 nm half-pitch line-and-space patterns using extreme-ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-05-01

    Extreme-ultraviolet (EUV) lithography will be applied to the high-volume production of semiconductor devices with 16 nm half-pitch resolution and is expected to be extended to that of devices with 11 nm half-pitch resolution. With the reduction in the feature sizes, the control of acid diffusion becomes a significant concern. In this study, the dependence of resist image quality on T PEB D acid and photodecomposable quencher concentration was investigated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. Here, T PEB and D acid are the postexposure baking (PEB) time and the acid diffusion constant, respectively. The resist image quality of 11 nm line-and-space patterns is discussed in terms of line edge roughness (LER) and stochastic defect generation. For the minimization of LER, it is necessary to design and control not only the photodecomposable quencher concentration but also T PEB D acid. In this case, D acid should be adjusted to be 0.3–1.5 nm2 s‑1 for a PEB time of 60 s with optimization of the balance among LER and stochastic pinching and bridging. Even if it is difficult to decrease D acid to the range of 0.3–1.5 nm2 s‑1, the image quality can still be controlled via only the photodecomposable quencher concentration, although LER and stochastic pinching and bridging are slightly increased. In this case, accurate control of the photodecomposable quencher concentration and the reduction in the initial standard deviation of the number of protected units are required.

  20. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  1. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  2. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  3. Thermal conduction properties of Mo/Si multilayers for extreme ultraviolet optics

    Science.gov (United States)

    Bozorg-Grayeli, Elah; Li, Zijian; Asheghi, Mehdi; Delgado, Gil; Pokrovsky, Alexander; Panzer, Matthew; Wack, Daniel; Goodson, Kenneth E.

    2012-10-01

    Extreme ultraviolet (EUV) lithography requires nanostructured optical components, whose reliability can be influenced by radiation absorption and thermal conduction. Thermal conduction analysis is complicated by sub-continuum electron and phonon transport and the lack of thermal property data. This paper measures and interprets thermal property data, and their evolution due to heating exposure, for Mo/Si EUV mirrors with 6.9 nm period and Mo/Si thickness ratios of 0.4/0.6 and 0.6/0.4. We use time-domain thermoreflectance and the 3ω method to estimate the thermal resistance between the Ru capping layer and the Mo/Si multilayers (RRu-Mo/Si = 1.5 m2 K GW-1), as well as the out-of-plane thermal conductivity (kMo/Si 1.1 W m-1 K-1) and thermal anisotropy (η = 13). This work also reports the impact of annealing on thermal conduction in a co-deposited MoSi2 layer, increasing the thermal conductivity from 1.7 W m-1 K-1 in the amorphous phase to 2.8 W m-1 K-1 in the crystalline phase.

  4. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  5. Spectral filter for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (EUV) or soft X-Ray (Soft X) and the infrared (IR) wavelength range

    NARCIS (Netherlands)

    van Goor, F.A.; Bijkerk, Frederik; van den Boogaard, Toine; van den Boogaard, A.J.R.; van der Meer, R.

    2012-01-01

    Spectral filter for splitting the primary radiation from a generated beam with primary electromagnetic radiation having a wavelength in the extreme ultraviolet (EUV radiation) or soft X-ray (soft X) wavelength range and parasitic radiation having a wavelength in the infrared wavelength range (IR

  6. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  7. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  8. On the improvement of heterodyne displacement interferometry : Enhancing measurement linearity and system modularity

    NARCIS (Netherlands)

    Meskers, A.J.H.

    2014-01-01

    Lithographic exposure equipment for integrated circuit manufacturing requires ever more accurate position measurement systems, which is currently led by the advent of Extreme UltraViolet (EUV)-lithography machines. This PhD-research describes an interferometric displacement measurement system that

  9. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  10. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  11. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  12. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  13. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  14. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  15. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  16. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  17. Infrared diffractive filtering for extreme ultraviolet multilayer Bragg reflectors

    NARCIS (Netherlands)

    Medvedev, Viacheslav; van den Boogaard, Toine; van der Meer, R.; Yakshin, Andrey; Louis, Eric; Krivtsun, V.M.; Bijkerk, Frederik

    2013-01-01

    Abstract: We report on the development of a hybrid mirror realized by integrating an EUV-reflecting multilayer coating with a lamellar grating substrate. This hybrid irror acts as an efficient Bragg reflector for extreme ultraviolet (EUV) radiation at a given wavelength while simultaneously

  18. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  19. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  20. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  1. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  2. Nanoscale inhomogeneity and photoacid generation dynamics in extreme ultraviolet resist materials

    Science.gov (United States)

    Wu, Ping-Jui; Wang, Yu-Fu; Chen, Wei-Chi; Wang, Chien-Wei; Cheng, Joy; Chang, Vencent; Chang, Ching-Yu; Lin, John; Cheng, Yuan-Chung

    2018-03-01

    The development of extreme ultraviolet (EUV) lithography towards the 22 nm node and beyond depends critically on the availability of resist materials that meet stringent control requirements in resolution, line edge roughness, and sensitivity. However, the molecular mechanisms that govern the structure-function relationships in current EUV resist systems are not well understood. In particular, the nanoscale structures of the polymer base and the distributions of photoacid generators (PAGs) should play a critical roles in the performance of a resist system, yet currently available models for photochemical reactions in EUV resist systems are exclusively based on homogeneous bulk models that ignore molecular-level details of solid resist films. In this work, we investigate how microscopic molecular organizations in EUV resist affect photoacid generations in a bottom-up approach that describes structure-dependent electron-transfer dynamics in a solid film model. To this end, molecular dynamics simulations and stimulated annealing are used to obtain structures of a large simulation box containing poly(4-hydroxystyrene) (PHS) base polymers and triphenylsulfonium based PAGs. Our calculations reveal that ion-pair interactions govern the microscopic distributions of the polymer base and PAG molecules, resulting in a highly inhomogeneous system with nonuniform nanoscale chemical domains. Furthermore, the theoretical structures were used in combination of quantum chemical calculations and the Marcus theory to evaluate electron transfer rates between molecular sites, and then kinetic Monte Carlo simulations were carried out to model electron transfer dynamics with molecular structure details taken into consideration. As a result, the portion of thermalized electrons that are absorbed by the PAGs and the nanoscale spatial distribution of generated acids can be estimated. Our data reveal that the nanoscale inhomogeneous distributions of base polymers and PAGs strongly affect the

  3. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  4. Extreme Ultraviolet Stokesmeter for Pulsed Magneto-Optics

    Directory of Open Access Journals (Sweden)

    Mabel Ruiz-Lopez

    2015-02-01

    Full Text Available Several applications in material science and magnetic holography using extreme ultraviolet (EUV radiation require the measurement of the degree and state of polarization. In this work, an instrument to measure simultaneously both parameters from EUV pulses is presented. The instrument determines the Stokes parameters after a reflection on an array of multilayer mirrors at the Brewster angle. The Stokesmeter was tested at Swiss Light Source at different EUV wavelengths. The experimental Stokes patterns of the source were compared with the simulated pattern.

  5. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  6. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  7. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  8. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  9. Studying electron-PAG interactions using electron-induced fluorescence

    Science.gov (United States)

    Narasimhan, Amrit; Grzeskowiak, Steven; Ostrander, Jonathan; Schad, Jonathon; Rebeyev, Eliran; Neisser, Mark; Ocola, Leonidas E.; Denbeaux, Gregory; Brainard, Robert L.

    2016-03-01

    In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Typical EUV resists are organic-based and chemically amplified using photoacid generators (PAGs). Upon exposure, PAGs produce acids which catalyze reactions that result in changes in solubility. In EUV lithography, photo- and secondary electrons (energies of 10- 80 eV) play a large role in PAG acid-production. Several mechanisms for electron-PAG interactions (e.g. electron trapping, and hole-initiated chemistry) have been proposed. The aim of this study is to explore another mechanism - internal excitation - in which a bound PAG electron can be excited by receiving energy from another energetic electron, causing a reaction that produces acid. This paper explores the mechanism of internal excitation through the analogous process of electron-induced fluorescence, in which an electron loses energy by transferring that energy to a molecule and that molecule emits a photon rather than decomposing. We will show and quantify electron-induced fluorescence of several fluorophores in polymer films to mimic resist materials, and use this information to refine our proposed mechanism. Relationships between the molecular structure of fluorophores and fluorescent quantum yield may aid in the development of novel PAGs for EUV lithography.

  10. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  11. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  12. Impact of design-parameters on the optical performance of a highpower adaptive mirror

    NARCIS (Netherlands)

    Koek, W.D.; Nijkerk, M.D.; Smeltink, J.A.; Dool, T.C. van den; Zwet, E.J. van; Baars, G.E. van

    2017-01-01

    TNO is developing a High Power Adaptive Mirror (HPAM) to be used in the CO2 laser beam path of an Extreme Ultra-Violet (EUV) light source for next-generation lithography. In this paper we report on a developed methodology, and the necessary simulation tools, to assess the performance and associated

  13. Extreme ultraviolet spectral irradiance measurements since 1946

    Science.gov (United States)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  14. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  15. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  16. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    Science.gov (United States)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  17. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    while providing cleaning rates suitable for EUV lithography operations.

  18. Extreme ultraviolet interferometry

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A. [Univ. of California, Berkeley, CA (United States). Dept. of Physics

    1997-12-01

    EUV lithography is a promising and viable candidate for circuit fabrication with 0.1-micron critical dimension and smaller. In order to achieve diffraction-limited performance, all-reflective multilayer-coated lithographic imaging systems operating near 13-nm wavelength and 0.1 NA have system wavefront tolerances of 0.27 nm, or 0.02 waves RMS. Owing to the highly-sensitive resonant reflective properties of multilayer mirrors and extraordinarily tight tolerances set forth for their fabrication, EUV optical systems require at-wavelength EUV interferometry for final alignment and qualification. This dissertation discusses the development and successful implementation of high-accuracy EUV interferometric techniques. Proof-of-principle experiments with a prototype EUV point-diffraction interferometer for the measurement of Fresnel zoneplate lenses first demonstrated sub-wavelength EUV interferometric capability. These experiments spurred the development of the superior phase-shifting point-diffraction interferometer (PS/PDI), which has been implemented for the testing of an all-reflective lithographic-quality EUV optical system. Both systems rely on pinhole diffraction to produce spherical reference wavefronts in a common-path geometry. Extensive experiments demonstrate EUV wavefront-measuring precision beyond 0.02 waves RMS. EUV imaging experiments provide verification of the high-accuracy of the point-diffraction principle, and demonstrate the utility of the measurements in successfully predicting imaging performance. Complementary to the experimental research, several areas of theoretical investigation related to the novel PS/PDI system are presented. First-principles electromagnetic field simulations of pinhole diffraction are conducted to ascertain the upper limits of measurement accuracy and to guide selection of the pinhole diameter. Investigations of the relative merits of different PS/PDI configurations accompany a general study of the most significant sources

  19. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  20. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources

    International Nuclear Information System (INIS)

    Hecquet, Ch.

    2009-03-01

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  1. Plans for the extreme ultraviolet explorer data base

    Science.gov (United States)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  2. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources; Conception, realisation et metrologie de miroirs multicouches pour l'extreme ultraviolet resistants aux environnements du spatial et des sources EUV

    Energy Technology Data Exchange (ETDEWEB)

    Hecquet, Ch.

    2009-03-15

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  3. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  4. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  5. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  6. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  7. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  8. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  9. Analyses of the Sn IX-Sn XII spectra in the EUV region

    International Nuclear Information System (INIS)

    Churilov, S S; Ryabtsev, A N

    2006-01-01

    The Sn IX-Sn XII spectra excited in a vacuum spark have been analysed in the 130-160 A wavelength region. The analysis was based on the energy parameter extrapolation in the isonuclear Sn VI-VIII and Sn XIII-XIV sequence. 266 spectral lines belonging to the 4d m -(4d m-1 4f+4p 5 4d m+1 ) (m=6-3) transition arrays were classified in the Sn IX-Sn XII spectra for the first time. All 18 level energies of the 4d 3 configuration and 39 level energies of the strongly interacting 4d 2 4f and 4p 5 4d 4 configurations were established in the Sn XII spectrum. The energy differences between the majority of the 4d m levels and about 40 levels of the 4d m-1 4f+4p 5 4d m+1 configurations were determined in each of the Sn IX, Sn X and Sn XI spectra (m=6-4). As a result, all intense lines were classified in the 130-140 A region relevant to the extreme ultraviolet (EUV) lithography. It was shown that the most of the intense lines in the 2% bandwidth at 135 A belong to the transitions in the Sn XI-Sn XIII spectra

  10. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    International Nuclear Information System (INIS)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-01-01

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10 6 frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs

  11. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    Energy Technology Data Exchange (ETDEWEB)

    Chai, Kil-Byoung; Bellan, Paul M. [Applied Physics, Caltech, 1200 E. California Boulevard, Pasadena, California 91125 (United States)

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  12. Center for X-Ray Optics, 1992

    International Nuclear Information System (INIS)

    1993-08-01

    This report discusses the following topics: Center for X-Ray Optics; Soft X-Ray Imaging wit Zone Plate Lenses; Biological X-Ray microscopy; Extreme Ultraviolet Lithography for Nanoelectronic Pattern Transfer; Multilayer Reflective Optics; EUV/Soft X-ray Reflectometer; Photoemission Microscopy with Reflective Optics; Spectroscopy with Soft X-Rays; Hard X-Ray Microprobe; Coronary Angiography; and Atomic Scattering Factors

  13. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  14. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  15. The creation of radiation dominated plasmas using laboratory extreme ultra-violet lasers

    Science.gov (United States)

    Tallents, G. J.; Wilson, S.; West, A.; Aslanyan, V.; Lolley, J.; Rossall, A. K.

    2017-06-01

    Ionization in experiments where solid targets are irradiated by high irradiance extreme ultra-violet (EUV) lasers is examined. Free electron degeneracy effects on ionization in the presence of a high EUV flux of radiation is shown to be important. Overlap of the physics of such plasmas with plasma material under compression in indirect inertial fusion is explored. The design of the focusing optics needed to achieve high irradiance (up to 1014 Wcm-2) using an EUV capillary laser is presented.

  16. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  17. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  18. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  19. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  20. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  1. Telescience - Concepts and contributions to the Extreme Ultraviolet Explorer mission

    Science.gov (United States)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-01-01

    It is shown how the contradictory goals of low-cost and fast data turnaround characterizing the Extreme Ultraviolet Explorer (EUVE) mission can be achieved via the early use of telescience style transparent tools and simulations. The use of transparent tools reduces the parallel development of capability while ensuring that valuable prelaunch experience is not lost in the operations phase. Efforts made to upgrade the 'EUVE electronics' simulator are described.

  2. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  3. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  4. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  5. Extreme ultraviolet resist materials for sub-7 nm patterning.

    Science.gov (United States)

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  6. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K.; Giannelis, Emmanuel P.

    2017-01-01

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  7. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  8. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  9. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  10. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  11. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  12. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  13. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  14. Plasma control for efficient extreme ultra-violet source

    International Nuclear Information System (INIS)

    Takahashi, Kensaku; Nakajima, Mitsuo; Kawamura, Tohru; Shiho, Makoto; Hotta, Eiki; Horioka, Kazuhiko

    2008-01-01

    To generate a high efficiency extreme-ultraviolet (EUV) source, effects of plasma shape for controlling radiative plasmas based on xenon capillary discharge are experimentally investigated. The radiation characteristics observed via tapered capillary discharge are compared with those of straight one. From the comparison, the long emission period and different plasma behaviors of tapered capillary discharge are confirmed. This means that control of the plasma geometry is effective for prolonging the EUV emission period. This result also indicates that the plasma shape control seems to have a potential for enhancing the conversion efficiency. (author)

  15. Fiscal 2000 survey and research achievement report on the survey and research on next-generation EUVL (extreme ultraviolet lithography) technology; 2000 nendo jisedai EUVL (Extreme Ultra-Violet Lithography) gijutsu chosa kenkyu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    While surveys of technical progress and tasks of EUVL in Japan and overseas are under way for submitting a proposition for the industrialization of EUVL technology by expatiating the results of the EUVL research and development program scheduled to be complete in fiscal 2001, the future of EUVL is considered. The survey results are summarized in five chapters which involve (1) the outlines of survey and research results, (2) technical trends of lithography, (3) systems for EUVL research and development in the world, and (5) the conclusion. In chapter (4), light sources, systems (exposure devices), masks, resists, and other element technologies are investigated. The survey results about light sources involve the background against which their development is described, performance that an extreme ultraviolet ray source is requested to have, candidate EUVL light sources, their technical features and tasks they present, and the latest trends overseas. Concerning the exposure device, the body (device constitution), stage, sensor, projection system, and the irradiation system are investigated. As for masks, the outline of a EUVL mask, masking substrate, multilayer film fabrication, masking pattern formation, and other tasks for development are investigated. (NEDO)

  16. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    Science.gov (United States)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  17. NEW SOLAR EXTREME-ULTRAVIOLET IRRADIANCE OBSERVATIONS DURING FLARES

    International Nuclear Information System (INIS)

    Woods, Thomas N.; Hock, Rachel; Eparvier, Frank; Jones, Andrew R.; Chamberlin, Phillip C.; Klimchuk, James A.; Didkovsky, Leonid; Judge, Darrell; Mariska, John; Warren, Harry; Schrijver, Carolus J.; Webb, David F.; Bailey, Scott; Tobiska, W. Kent

    2011-01-01

    New solar extreme-ultraviolet (EUV) irradiance observations from the NASA Solar Dynamics Observatory (SDO) EUV Variability Experiment provide full coverage in the EUV range from 0.1 to 106 nm and continuously at a cadence of 10 s for spectra at 0.1 nm resolution and even faster, 0.25 s, for six EUV bands. These observations can be decomposed into four distinct characteristics during flares. First, the emissions that dominate during the flare's impulsive phase are the transition region emissions, such as the He II 30.4 nm. Second, the hot coronal emissions above 5 MK dominate during the gradual phase and are highly correlated with the GOES X-ray. A third flare characteristic in the EUV is coronal dimming, seen best in the cool corona, such as the Fe IX 17.1 nm. As the post-flare loops reconnect and cool, many of the EUV coronal emissions peak a few minutes after the GOES X-ray peak. One interesting variation of the post-eruptive loop reconnection is that warm coronal emissions (e.g., Fe XVI 33.5 nm) sometimes exhibit a second large peak separated from the primary flare event by many minutes to hours, with EUV emission originating not from the original flare site and its immediate vicinity, but rather from a volume of higher loops. We refer to this second peak as the EUV late phase. The characterization of many flares during the SDO mission is provided, including quantification of the spectral irradiance from the EUV late phase that cannot be inferred from GOES X-ray diagnostics.

  18. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  19. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  20. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  1. Extreme ultraviolet resist materials for sub-7 nm patterning

    KAUST Repository

    Li, Li

    2017-06-26

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore\\'s law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  2. Bottom Extreme-Ultraviolet-Sensitive Coating for Evaluation of the Absorption Coefficient of Ultrathin Film

    Science.gov (United States)

    Hijikata, Hayato; Kozawa, Takahiro; Tagawa, Seiichi; Takei, Satoshi

    2009-06-01

    A bottom extreme-ultraviolet-sensitive coating (BESC) for evaluation of the absorption coefficients of ultrathin films such as extreme ultraviolet (EUV) resists was developed. This coating consists of a polymer, crosslinker, acid generator, and acid-responsive chromic dye and is formed by a conventional spin-coating method. By heating the film after spin-coating, a crosslinking reaction is induced and the coating becomes insoluble. A typical resist solution can be spin-coated on a substrate covered with the coating film. The evaluation of the linear absorption coefficients of polymer films was demonstrated by measuring the EUV absorption of BESC substrates on which various polymers were spin-coated.

  3. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir

    2017-11-28

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  4. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G; Semple, James; Anthopoulos, Thomas D.

    2017-01-01

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  5. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  6. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  7. Characteristics of extreme ultraviolet emission from high-Z plasmas

    International Nuclear Information System (INIS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-01-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics. (paper)

  8. Characteristics of extreme ultraviolet emission from high-Z plasmas

    Science.gov (United States)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  9. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    Science.gov (United States)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.; Skrzeczanowski, W.

    2016-04-01

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Kr plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.

  10. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  11. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  12. Graphene defect formation by extreme ultraviolet generated photoelectrons

    NARCIS (Netherlands)

    Gao, An; Lee, Christopher James; Bijkerk, Frederik

    2014-01-01

    We have studied the effect of photoelectrons on defect formation in graphene during extreme ultraviolet (EUV) irradiation. Assuming the major role of these low energy electrons, we have mimicked the process by using low energy primary electrons. Graphene is irradiated by an electron beam with energy

  13. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  14. Laser-plasma source parameters for Kr, Gd, and Tb ions at 6.6 nm

    Energy Technology Data Exchange (ETDEWEB)

    Masnavi, Majid; Szilagyi, John; Parchamy, Homaira; Richardson, Martin C. [The Townes Laser Institute, College of Optics and Photonics, University of Central Florida, 4000 Central Florida Blvd., Orlando, Florida 32816 (United States)

    2013-04-22

    There is increasing interest in extreme-ultraviolet (EUV) laser-based lamps for sub-10-nm lithography operating in the region of 6.6 nm. A collisional-radiative model is developed as a post-processor of a hydrodynamic code to investigate emission from resonance lines in Kr, Gd, and Tb ions under conditions typical for mass-limited EUV sources. The analysis reveals that maximum conversion efficiencies of Kr occur at 5 Multiplication-Sign 10{sup 10}W/cm{sup 2}, while for Gd and Tb it was Asymptotically-Equal-To 0.9%/2{pi}sr for laser intensities of (2-5) Multiplication-Sign 10{sup 12}W/cm{sup 2}.

  15. Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    International Nuclear Information System (INIS)

    Borisov, V M; Vinokhodov, A Yu; Ivanov, A S; Kiryukhin, Yu B; Mishchenko, V A; Prokof'ev, A V; Khristoforov, O B

    2009-01-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz. (laser applications and other topics in quantum electronics)

  16. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  17. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  18. Mask compensation for process flare in 193nm very low k1 lithography

    Science.gov (United States)

    Lee, Jeonkyu; Lee, Taehyeong; Oh, Sangjin; Kang, Chunsoo; Kim, Jungchan; Choi, Jaeseung; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Do, Munhoe; Su, Irene; Song, Hua; Choi, Jung-Hoe; Fan, Yongfa; Wang, Anthony C.; Lee, Sung-Woo; Boone, Robert; Lucas, Kevin

    2013-04-01

    Traditional rule-based and model-based OPC methods only simulate in a very local area (generally less than 1um) to identify and correct for systematic optical or process problems. Despite this limitation, however, these methods have been very successful for many technology generations and have been a major reason for the industry being able to tremendously push down lithographic K1. This is also enabled by overall good across-exposure field lithographic process control which has been able to minimize longer range effects across the field. Now, however, the situation has now become more complex. The lithographic single exposure resolution limit with 1.35NA tools remains about 80nm pitch but the final wafer dimensions and final wafer pitches required in advanced technologies continue to scale down. This is putting severe strain on lithographic process and OPC CD control. Therefore, formerly less important 2nd order effects are now starting to have significant CD control impact if not corrected for. In this paper, we provide examples and discussion of how optical and chemical flare related effects are becoming more problematic, especially at the boundaries of large, dense memory arrays. We then introduce a practical correction method for these systematic effects which reuses some of the recent long range effect correcting OPC techniques developed for EUV pattern correction (such as EUV flare). We next provide analysis of the benefits of these OPC methods for chemical flare issues in 193nm lithography very low K1 lithography. Finally, we summarize our work and briefly mention possible future extensions.

  19. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  20. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  1. Exploring the temporally resolved electron density evolution in extreme ultra-violet induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Nijdam, S; Kroesen, G M W

    2014-01-01

    We measured the electron density in an extreme ultra-violet (EUV) induced plasma. This is achieved in a low-pressure argon plasma by using a method called microwave cavity resonance spectroscopy. The measured electron density just after the EUV pulse is 2.6 × 10 16  m −3 . This is in good agreement with a theoretical prediction from photo-ionization, which yields a density of 4.5 × 10 16  m −3 . After the EUV pulse the density slightly increases due to electron impact ionization. The plasma (i.e. electron density) decays in tens of microseconds. (fast track communication)

  2. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  3. The Dual-channel Extreme Ultraviolet Continuum Experiment: Sounding Rocket EUV Observations of Local B Stars to Determine Their Potential for Supplying Intergalactic Ionizing Radiation

    Science.gov (United States)

    Erickson, Nicholas; Green, James C.; France, Kevin; Stocke, John T.; Nell, Nicholas

    2018-06-01

    We describe the scientific motivation and technical development of the Dual-channel Extreme Ultraviolet Continuum Experiment (DEUCE). DEUCE is a sounding rocket payload designed to obtain the first flux-calibrated spectra of two nearby B stars in the EUV 650-1150Å bandpass. This measurement will help in understanding the ionizing flux output of hot B stars, calibrating stellar models and commenting on the potential contribution of such stars to reionization. DEUCE consists of a grazing incidence Wolter II telescope, a normal incidence holographic grating, and the largest (8” x 8”) microchannel plate detector ever flown in space, covering the 650-1150Å band in medium and low resolution channels. DEUCE will launch on December 1, 2018 as NASA/CU sounding rocket mission 36.331 UG, observing Epsilon Canis Majoris, a B2 II star.

  4. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  5. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  6. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  7. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  8. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    Science.gov (United States)

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  9. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  10. Accelerated yield learning in agressive lithography

    Science.gov (United States)

    Monahan, Kevin M.; Ashkenaz, Scott M.; Chen, Xing; Lord, Patrick J.; Merrill, Mark A.; Quattrini, Rich; Wiley, James N.

    2000-06-01

    As exposure wavelengths decrease from 248 nm to 193, 157, and even 13 nm (EUV), small process defects can cause collapse of the lithographic process window near the limits of resolution, particularly for the gate and contact structures in high- performance devices. Such sensitivity poses a challenge for lithography process module control. In this work, we show that yield loss can be caused by a combination of macro, micro, CD, and overlay defects. A defect is defined as any yield- affecting process variation. Each defect, regardless of cause, is assumed to have a specific 'kill potential.' The accuracy of the lithographic yield model can be improved by identifying those defects with the highest kill potential or, more importantly, those that pose the highest economic risk. Such economic considerations have led us to develop a simple heuristic model for understanding sampling strategies in defect metrology and for linking metrology capability to yield and profitability.

  11. Evidence for a New Class of Extreme Ultraviolet Sources

    Science.gov (United States)

    Maoz, Dan; Ofek, Eran O.; Shemi, Amotz

    1997-01-01

    Most of the sources detected in the extreme ultraviolet (EUV; 100-600 A) by the ROSAT/WFC and EUVE all-sky surveys have been identified with active late-type stars and hot white dwarfs that are near enough to the Earth to escape absorption by interstellar gas. However, about 15 per cent of EUV sources are as yet unidentified with any optical counterparts. We examine whether the unidentified EUV sources may consist of the same population of late-type stars and white dwarfs. We present B and R photometry of stars in the fields of seven of the unidentified EUV sources. We detect in the optical the entire main-sequence and white dwarf population out to the greatest distances where they could still avoid absorption. We use color-magnitude diagrams to demonstrate that, in most of the fields, none of the observed stars has the colours and magnitudes of late-type dwarfs at distances less than 100 pc. Similarly, none of the observed stars is a white dwarf within 500 pc that is hot enough to be a EUV emitter. The unidentified EUV sources we study are not detected in X-rays, while cataclysmic variables, X-ray binaries, and active galactic nuclei generally are. We conclude that some of the EUV sources may be a new class of nearby objects, which are either very faint at optical bands or which mimic the colours and magnitudes of distant late-type stars or cool white dwarfs. One candidate for optically faint objects is isolated old neutron stars, slowly accreting interstellar matter. Such neutron stars are expected to be abundant in the Galaxy, and have not been unambiguously detected.

  12. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  13. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2×1011 W/cm2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  14. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source

    International Nuclear Information System (INIS)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-01-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 μm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2x10 11 W/cm 2 with a spot diameter of 175 μm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal

  15. Development of a liquid tin microjet target for an efficient laser-produced plasma extreme ultraviolet source.

    Science.gov (United States)

    Higashiguchi, Takeshi; Hamada, Masaya; Kubodera, Shoichi

    2007-03-01

    A regenerative tin liquid microjet target was developed for a high average power extreme ultraviolet (EUV) source. The diameter of the target was smaller than 160 microm and good vacuum lower than 0.5 Pa was maintained during the operation. A maximum EUV conversion efficiency of 1.8% at the Nd:yttrium-aluminum-garnet laser intensity of around 2 x 10(11) Wcm(2) with a spot diameter of 175 microm (full width at half maximum) was observed. The angular distribution of the EUV emission remained almost isotropic, whereas suprathermal ions mainly emerged toward the target normal.

  16. Computational approach on PEB process in EUV resist: multi-scale simulation

    Science.gov (United States)

    Kim, Muyoung; Moon, Junghwan; Choi, Joonmyung; Lee, Byunghoon; Jeong, Changyoung; Kim, Heebom; Cho, Maenghyo

    2017-03-01

    For decades, downsizing has been a key issue for high performance and low cost of semiconductor, and extreme ultraviolet lithography is one of the promising candidates to achieve the goal. As a predominant process in extreme ultraviolet lithography on determining resolution and sensitivity, post exposure bake has been mainly studied by experimental groups, but development of its photoresist is at the breaking point because of the lack of unveiled mechanism during the process. Herein, we provide theoretical approach to investigate underlying mechanism on the post exposure bake process in chemically amplified resist, and it covers three important reactions during the process: acid generation by photo-acid generator dissociation, acid diffusion, and deprotection. Density functional theory calculation (quantum mechanical simulation) was conducted to quantitatively predict activation energy and probability of the chemical reactions, and they were applied to molecular dynamics simulation for constructing reliable computational model. Then, overall chemical reactions were simulated in the molecular dynamics unit cell, and final configuration of the photoresist was used to predict the line edge roughness. The presented multiscale model unifies the phenomena of both quantum and atomic scales during the post exposure bake process, and it will be helpful to understand critical factors affecting the performance of the resulting photoresist and design the next-generation material.

  17. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    Science.gov (United States)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  18. Spin-on-glass coatings for the generation of super-polishedsubstrates for extreme ultraviolet optics

    Energy Technology Data Exchange (ETDEWEB)

    Salmassi, Farhad; Naulleau, Patrick P.; Gullikson, Eric M.

    2005-01-01

    Substrates intended for use as extreme ultraviolet (EUV) optics have extremely stringent requirements in terms of finish. These requirements can dramatically increase the cost and fabrication time, especially when non-conventional shapes, such as toroids, are required. Here we present a spin-on-glass resist process capable of generating super-polished parts from inexpensive substrates. The method has been used to render diamond-turned substrates compatible for use as EUV optics. Toroidal diamond-turned optics with starting rms roughness in the 3.3 to 3.7 nm range have been smoothed to the 0.4 to 0.6 nm range. EUV reflectometry characterization of these optics has demonstrated reflectivities of approximately 63%.

  19. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  20. Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi

    2006-03-01

    We demonstrated a debris-free, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO II) nano-particles. By using a low SnO II concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  1. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  2. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  3. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  4. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  5. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  6. Ultimate waveform reproducibility of extreme-ultraviolet pulses by high-harmonic generation in quartz

    Science.gov (United States)

    Garg, M.; Kim, H. Y.; Goulielmakis, E.

    2018-05-01

    Optical waveforms of light reproducible with subcycle precision underlie applications of lasers in ultrafast spectroscopies, quantum control of matter and light-based signal processing. Nonlinear upconversion of optical pulses via high-harmonic generation in gas media extends these capabilities to the extreme ultraviolet (EUV). However, the waveform reproducibility of the generated EUV pulses in gases is inherently sensitive to intensity and phase fluctuations of the driving field. We used photoelectron interferometry to study the effects of intensity and carrier-envelope phase of an intense single-cycle optical pulse on the field waveform of EUV pulses generated in quartz nanofilms, and contrasted the results with those obtained in gas argon. The EUV waveforms generated in quartz were found to be virtually immune to the intensity and phase of the driving field, implying a non-recollisional character of the underlying emission mechanism. Waveform-sensitive photonic applications and precision measurements of fundamental processes in optics will benefit from these findings.

  7. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  8. Silicon photodiode with selective Zr/Si coating for extreme ultraviolet spectral range

    International Nuclear Information System (INIS)

    Aruev, P N; Barysheva, Mariya M; Ber, B Ya; Zabrodskaya, N V; Zabrodskii, V V; Lopatin, A Ya; Pestov, Alexey E; Petrenko, M V; Polkovnikov, V N; Salashchenko, Nikolai N; Sukhanov, V L; Chkhalo, Nikolai I

    2012-01-01

    The procedure of manufacturing silicon photodiodes with an integrated Zr/Si filter for extreme ultraviolet (EUV) spectral range is developed. A setup for measuring the sensitivity profile of detectors with spatial resolution better than 100 μm is fabricated. The optical properties of silicon photodiodes in the EUV and visible spectral ranges are investigated. Some characteristics of SPD-100UV diodes with Zr/Si coating and without it, as well as of AXUV-100 diodes, are compared. In all types of detectors a narrow region beyond the operating aperture is found to be sensitive to the visible light. (photodetectors)

  9. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  10. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  11. Uncertainty considerations for interferometric stability testing

    NARCIS (Netherlands)

    Ellis, J.D.; Joo, K.N.; Verlaan, A.L.; Spronck, J.W.

    2008-01-01

    Material stability is an important parameter for EUV lithography, space instrumentation, and metrology in general. In both EUV lithography and space, more information is needed about material stability during an atmospheric to vacuum transition. For metrology instruments in general, determining the

  12. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  13. An Extreme-ultraviolet Wave Generating Upward Secondary Waves in a Streamer-like Solar Structure

    Science.gov (United States)

    Zheng, Ruisheng; Chen, Yao; Feng, Shiwei; Wang, Bing; Song, Hongqiang

    2018-05-01

    Extreme-ultraviolet (EUV) waves, spectacular horizontally propagating disturbances in the low solar corona, always trigger horizontal secondary waves (SWs) when they encounter the ambient coronal structure. We present the first example of upward SWs in a streamer-like structure after the passing of an EUV wave. This event occurred on 2017 June 1. The EUV wave happened during a typical solar eruption including a filament eruption, a coronal mass ejection (CME), and a C6.6 flare. The EUV wave was associated with quasi-periodic fast propagating (QFP) wave trains and a type II radio burst that represented the existence of a coronal shock. The EUV wave had a fast initial velocity of ∼1000 km s‑1, comparable to high speeds of the shock and the QFP wave trains. Intriguingly, upward SWs rose slowly (∼80 km s‑1) in the streamer-like structure after the sweeping of the EUV wave. The upward SWs seemed to originate from limb brightenings that were caused by the EUV wave. All of the results show that the EUV wave is a fast-mode magnetohydrodynamic (MHD) shock wave, likely triggered by the flare impulses. We suggest that part of the EUV wave was probably trapped in the closed magnetic fields of the streamer-like structure, and upward SWs possibly resulted from the release of slow-mode trapped waves. It is believed that the interplay of the strong compression of the coronal shock and the configuration of the streamer-like structure is crucial for the formation of upward SWs.

  14. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-05-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO2) nanoparticles. By using a low SnO2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris.

  15. Low-debris, efficient laser-produced plasma extreme ultraviolet source by use of a regenerative liquid microjet target containing tin dioxide (SnO2) nanoparticles

    International Nuclear Information System (INIS)

    Higashiguchi, Takeshi; Dojyo, Naoto; Hamada, Masaya; Sasaki, Wataru; Kubodera, Shoichi

    2006-01-01

    We demonstrated a low-debris, efficient laser-produced plasma extreme ultraviolet (EUV) source by use of a regenerative liquid microjet target containing tin-dioxide (SnO 2 ) nanoparticles. By using a low SnO 2 concentration (6%) solution and dual laser pulses for the plasma control, we observed the EUV conversion efficiency of 1.2% with undetectable debris

  16. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  17. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  18. Methods and apparatus for use with extreme ultraviolet light having contamination protection

    Science.gov (United States)

    Chilese, Francis C.; Torczynski, John R.; Garcia, Rudy; Klebanoff, Leonard E.; Delgado, Gildardo R.; Rader, Daniel J.; Geller, Anthony S.; Gallis, Michail A.

    2016-07-12

    An apparatus for use with extreme ultraviolet (EUV) light comprising A) a duct having a first end opening, a second end opening and an intermediate opening intermediate the first end opening the second end opening, B) an optical component disposed to receive EUV light from the second end opening or to send light through the second end opening, and C) a source of low pressure gas at a first pressure to flow through the duct, the gas having a high transmission of EUV light, fluidly coupled to the intermediate opening. In addition to or rather than gas flow the apparatus may have A) a low pressure gas with a heat control unit thermally coupled to at least one of the duct and the optical component and/or B) a voltage device to generate voltage between a first portion and a second portion of the duet with a grounded insulative portion therebetween.

  19. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  20. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  1. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  2. Resist-based measurement of contrast transfer function in a 0.3-NA microfield optic

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    Although extreme ultraviolet (EUV) lithography offers the possibility of very high-resolution patterning, the projection optics must be of extremely high quality in order to meet this potential. One key metric of the projection optic quality is the contrast transfer function (CTF), which is a measure of the aerial image contrast as a function of pitch. A static microfield exposure tool based on the 0.3-NA MET optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. This tool provides a platform for a wide variety of research into EUV lithography. In this work we present resist-based measurements of the contrast transfer function for the MET optic. These measurements are based upon line/space patterns printed in several different EUV photoresists. The experimental results are compared with the CTF in aerial-image simulations using the aberrations measured in the projection optic using interferometry. In addition, the CTF measurements are conducted for both bright-field and dark-field mask patterns. Finally, the orientation dependence of the CTF is measured in order to evaluate the effect of non-rotationally symmetric lens aberrations. These measurements provide valuable information in interpreting the results of other experiments performed using the MET and similar systems

  3. Excluded volume effects caused by high concentration addition of acid generators in chemically amplified resists used for extreme ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Watanabe, Kyoko; Matsuoka, Kyoko; Yamamoto, Hiroki; Komuro, Yoshitaka; Kawana, Daisuke; Yamazaki, Akiyoshi

    2017-08-01

    The resolution of lithography used for the high-volume production of semiconductor devices has been improved to meet the market demands for highly integrated circuits. With the reduction in feature size, the molecular size becomes non-negligible in the resist material design. In this study, the excluded volume effects caused by adding high-concentration acid generators were investigated for triphenylsulfonium nonaflate. The resist film density was measured by X-ray diffractometry. The dependences of absorption coefficient and protected unit concentration on acid generator weight ratio were calculated from the measured film density. Using these values, the effects on the decomposition yield of acid generators, the protected unit fluctuation, and the line edge roughness (LER) were evaluated by simulation on the basis of sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. The positive effects of the increase in acid generator weight ratio on LER were predominant below the acid generator weight ratio of 0.3, while the negative effects became equivalent to the positive effects above the acid generator weight ratio of 0.3 owing to the excluded volume effects.

  4. Impurity study of TMX using ultraviolet spectroscopy

    International Nuclear Information System (INIS)

    Allen, S.L.; Strand, O.T.; Moos, H.W.; Fortner, R.J.; Nash, T.J.; Dietrich, D.D.

    1981-01-01

    An extreme ultraviolet (EUV) study of the emissions from intrinsic and injected impurities in TMX is presented. Two survey spectrographs were used to determine that the major impurities present were oxygen, nitrogen, carbon, and titanium. Three absolutely-calibrated monochromators were used to measure the time histories and radial profiles of these impurity emissions in the central cell and each plug. Two of these instruments were capable of obtaining radial profiles as a function of time in a single shot

  5. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  6. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  7. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  8. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  9. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  10. Tomographic extreme-ultraviolet spectrographs: TESS.

    Science.gov (United States)

    Cotton, D M; Stephan, A; Cook, T; Vickers, J; Taylor, V; Chakrabarti, S

    2000-08-01

    We describe the system of Tomographic Extreme Ultraviolet (EUV) SpectrographS (TESS) that are the primary instruments for the Tomographic Experiment using Radiative Recombinative Ionospheric EUV and Radio Sources (TERRIERS) satellite. The spectrographs were designed to make high-sensitivity {80 counts/s)/Rayleigh [one Rayleigh is equivalent to 10(6) photons/(4pi str cm(2)s)}, line-of-sight measurements of the oi 135.6- and 91.1-nm emissions suitable for tomographic inversion. The system consists of five spectrographs, four identical nightglow instruments (for redundancy and added sensitivity), and one instrument with a smaller aperture to reduce sensitivity and increase spectral resolution for daytime operation. Each instrument has a bandpass of 80-140 nm with approximately 2- and 1-nm resolution for the night and day instruments, respectively. They utilize microchannel-plate-based two-dimensional imaging detectors with wedge-and-strip anode readouts. The instruments were designed, fabricated, and calibrated at Boston University, and the TERRIERS satellite was launched on 18 May 1999 from Vandenberg Air Force Base, California.

  11. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  12. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  13. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  14. Multichannel silicon WDM ring filters fabricated with DUV lithography

    Science.gov (United States)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  15. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  16. Simulation study of CD variation caused by field edge effects and out-of-band radiation in EUVL

    Science.gov (United States)

    Gao, Weimin; Niroomand, Ardavan; Lorusso, Gian F.; Boone, Robert; Lucas, Kevin; Demmerle, Wolfgang

    2013-09-01

    Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1x nm half pitch node and beyond, many technological burdens have to be overcome. The "field edge effect" in EUVL is one of them. The image border region of an EUV mask,also known as the "black border" (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on CD uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multi-layer (ML)at the image border region of the EUV mask. In this paper, we present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional "flare level" at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the imec's NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation we can also determine the OoB effect rigorouslyusing the methodology of an "effective mask blank". The study in this paper demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.

  17. Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV

    Science.gov (United States)

    Kanik, I.; Ajello, J. M.; James, G. K.

    1993-01-01

    We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.

  18. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  19. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  20. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  1. The mutagenic effect of near ultraviolet light on the nvs strains of Aspergillus nidulans in the presence of 8-metoxypsoralen or angelicin

    International Nuclear Information System (INIS)

    Muronets, E.M.; Kovtunenko, L.V.; Kameneva, S.V.

    1980-01-01

    The mutual mutagenic effect of long-wave ultraviolet radiation (EUV) with angelicin which forms monoadducts in DNA and 8-metoxypsoralen (8 MOP) which forms monoadducts and joints, on conidia of uvs- and uvs+ strains of Aspergillus nidulans, is studied. The two types of interaction are shown to induce mutations intensively. Mutation induction with angelicin shows the role of psoralen pyrimidine monoadducts in mutagenesis. The technique of fractionated EUV radiation and studying the effect of monoadduct repair effectiveness on mutation output permits to prove that interthread DNA joints induced by 8-MOP+EUV are also highly mutagenous. The products of UVS/2, 20b, 26 genes which take part in the excision of DNA damages do not take part in the formation of mutations induced in aspergil by furocoumarine + EUV. The products of uvs 19, 20a genes which take part in the postreplicative DNA reduction are neccessary for the repair of premutation damages induced by furocoumarine + EUV

  2. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  3. A search for thermal extreme ultraviolet radiation from nearby pulsars

    International Nuclear Information System (INIS)

    Greenstein, G.; Margon, B.

    1977-01-01

    We present the first extreme ultraviolet (100-1000 A) observations of radio pulsars. Using an EUV telescope carried aboard the Apollo-Soyuz mission, data were acquired on the nearby pulsars PSR 1133 + 16, 1451 - 68 and 1929 + 10. The data are interpreted to set limits on the effective temperatures of the neutron stars, yielding T 5 K in the best cases, and the limits compared with theoretical predictions. (orig./BJ) [de

  4. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  5. Laser-assisted vacuum arc extreme ultraviolet source: a comparison of picosecond and nanosecond laser triggering

    Science.gov (United States)

    Beyene, Girum A.; Tobin, Isaac; Juschkin, Larissa; Hayden, Patrick; O'Sullivan, Gerry; Sokell, Emma; Zakharov, Vassily S.; Zakharov, Sergey V.; O'Reilly, Fergal

    2016-06-01

    Extreme ultraviolet (EUV) light generation by hybrid laser-assisted vacuum arc discharge plasmas, utilizing Sn-coated rotating-disc-electrodes, was investigated. The discharge was initiated by localized ablation of the liquid tin coating of the cathode disc by a laser pulse. The laser pulse, at 1064 nm, was generated by Nd:YAG lasers with variable energy from 1 to 100 mJ per pulse. The impact of shortening the laser pulse from 7 ns to 170 ps on the EUV generation has been investigated in detail. The use of ps pulses resulted in an increase in emission of EUV radiation. With a fixed discharge energy of ~4 J, the EUV conversion efficiency tends to plateau at ~2.4  ±  0.25% for the ps laser pulses, while for the ns pulses, it saturates at ~1.7  ±  0.3%. Under similar discharge and laser energy conditions, operating the EUV source with the ps-triggering resulted also in narrower spectral profiles of the emission in comparison to ns-triggering. The results indicate an advantage in using ps-triggering in laser-assisted discharges to produce brighter plasmas required for applications such as metrology.

  6. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    Science.gov (United States)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  7. New type of discharge-produced plasma source for extreme ultraviolet based on liquid tin jet electrodes

    NARCIS (Netherlands)

    Koshelev, K.N.; Krivtsun, V.M.; Ivanov, V.; Yakushev, O.; Chekmarev, A.; Koloshnikov, V.; Snegirev, E.; Medvedev, Viacheslav

    2012-01-01

    A new approach for discharge-produced plasma (DPP) extreme ultraviolet (EUV) sources based on the usage of two liquid metallic alloy jets as discharge electrodes has been proposed and tested. Discharge was ignited using laser ablation of one of the cathode jets. A system with two jet electrodes was

  8. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  9. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  10. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  11. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  12. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  13. Dynamical structure of extreme ultraviolet macrospicules

    Science.gov (United States)

    Karovska, Margarita; Habbal, Shadia Rifai

    1994-01-01

    We describe the substructures forming the macrospicules and their temporal evolution, as revealed by the application of an image enhancement algorithm to extreme ultraviolet (EUV) observations of macrospicules. The enhanced images uncover, for the first time, the substructures forming the column-like structures within the macrospicules and the low-lying arches at their base. The spatial and temporal evolution of macrospicules clearly show continuous interaction between these substructures with occasional ejection of plasma following a ballistic trajectory. We comment on the importance of these results for planning near future space observations of macrospicules with better temporal and spatial resolution.

  14. FIBRILLAR CHROMOSPHERIC SPICULE-LIKE COUNTERPARTS TO AN EXTREME-ULTRAVIOLET AND SOFT X-RAY BLOWOUT CORONAL JET

    International Nuclear Information System (INIS)

    Sterling, Alphonse C.; Moore, Ronald L.; Harra, Louise K.

    2010-01-01

    We observe an erupting jet feature in a solar polar coronal hole, using data from Hinode/Solar Optical Telescope (SOT), Extreme Ultraviolet Imaging Spectrometer (EIS), and X-Ray Telescope (XRT), with supplemental data from STEREO/EUVI. From extreme-ultraviolet (EUV) and soft X-ray (SXR) images we identify the erupting feature as a blowout coronal jet: in SXRs it is a jet with a bright base, and in EUV it appears as an eruption of relatively cool (∼50,000 K) material of horizontal size scale ∼30'' originating from the base of the SXR jet. In SOT Ca II H images, the most pronounced analog is a pair of thin (∼1'') ejections at the locations of either of the two legs of the erupting EUV jet. These Ca II features eventually rise beyond 45'', leaving the SOT field of view, and have an appearance similar to standard spicules except that they are much taller. They have velocities similar to that of 'type II' spicules, ∼100 km s -1 , and they appear to have spicule-like substructures splitting off from them with horizontal velocity ∼50 km s -1 , similar to the velocities of splitting spicules measured by Sterling et al. Motions of splitting features and of other substructures suggest that the macroscopic EUV jet is spinning or unwinding as it is ejected. This and earlier work suggest that a subpopulation of Ca II type II spicules are the Ca II manifestation of portions of larger scale erupting magnetic jets. A different subpopulation of type II spicules could be blowout jets occurring on a much smaller horizontal size scale than the event we observe here.

  15. Nonlinear wave-mixing processes in the extreme ultraviolet

    International Nuclear Information System (INIS)

    Misoguti, L.; Christov, I. P.; Backus, S.; Murnane, M. M.; Kapteyn, H. C.

    2005-01-01

    We present data from two-color high-order harmonic generation in a hollow waveguide, that suggest the presence of a nonlinear-optical frequency conversion process driven by extreme ultraviolet light. By combining the fundamental and second harmonic of an 800 nm laser in a hollow-core fiber, with varying relative polarizations, and by observing the pressure and power scaling of the various harmonic orders, we show that the data are consistent with a picture where we drive the process of high-harmonic generation, which in turn drives four-wave frequency mixing processes in the extreme EUV. This work promises a method for extending nonlinear optics into the extreme ultraviolet region of the spectrum using an approach that has not previously been considered, and has compelling implications for generating tunable light at short wavelengths

  16. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  17. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  18. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  19. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  20. Three new extreme ultraviolet spectrometers on NSTX-U for impurity monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Weller, M. E., E-mail: weller4@llnl.gov; Beiersdorfer, P.; Soukhanovskii, V. A.; Magee, E. W.; Scotti, F. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States)

    2016-11-15

    Three extreme ultraviolet (EUV) spectrometers have been mounted on the National Spherical Torus Experiment–Upgrade (NSTX-U). All three are flat-field grazing-incidence spectrometers and are dubbed X-ray and Extreme Ultraviolet Spectrometer (XEUS, 8–70 Å), Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS, 190–440 Å), and Metal Monitor and Lithium Spectrometer Assembly (MonaLisa, 50–220 Å). XEUS and LoWEUS were previously implemented on NSTX to monitor impurities from low- to high-Z sources and to study impurity transport while MonaLisa is new and provides the system increased spectral coverage. The spectrometers will also be a critical diagnostic on the planned laser blow-off system for NSTX-U, which will be used for impurity edge and core ion transport studies, edge-transport code development, and benchmarking atomic physics codes.

  1. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  2. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  3. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  4. Selected programs at the new SURF III electron storage ring

    International Nuclear Information System (INIS)

    Furst, Mitchell L.; Arp, Uwe; Cauchon, Gilles P.; Graves, Rossie M.; Hamilton, Andrew D.; Hughey, Lanny R.; Lucatorto, Thomas B.; Tarrio, Charles

    2000-01-01

    The conversion of the electron storage ring at NIST (the National Institute of Standards and Technology) to SURF III (the Synchrotron Ultraviolet Radiation Facility) has resulted in a significant improvement to the azimuthal uniformity of the magnetic field as well as the capability for operating at higher beam energies. Measurements of magnetic field strength revealed azimuthal uniformity of better than ±0.05% at field strengths equivalent to operating energies of 52 MeV to 417 MeV. Initial operation is restricted to energies up to 331 MeV due to temporary limitations in the rf transmission system. Even at 331 MeV there is already a significant extension of the usable short wavelength range of the synchrotron radiation as compared to the range available at the 284 MeV operating energy of SURF II. These and other improvements have a major impact on SURF programs including: the Nanodetector, a conversion microscope which is a prototype real-time imaging system for EUV (extreme ultraviolet) lithography; the Spectrometer Calibration Beamline, used for high-accuracy absolute calibration of spectrometers; and the National EUV Reflectometry Facility, used to measure optical constants of thin-film multilayer optics

  5. Probing the Production of Extreme-ultraviolet Late-phase Solar Flares Using the Model Enthalpy-based Thermal Evolution of Loops

    Science.gov (United States)

    Dai, Yu; Ding, Mingde

    2018-04-01

    Recent observations in extreme-ultraviolet (EUV) wavelengths reveal an EUV late phase in some solar flares that is characterized by a second peak in warm coronal emissions (∼3 MK) several tens of minutes to a few hours after the soft X-ray (SXR) peak. Using the model enthalpy-based thermal evolution of loops (EBTEL), we numerically probe the production of EUV late-phase solar flares. Starting from two main mechanisms of producing the EUV late phase, i.e., long-lasting cooling and secondary heating, we carry out two groups of numerical experiments to study the effects of these two processes on the emission characteristics in late-phase loops. In either of the two processes an EUV late-phase solar flare that conforms to the observational criteria can be numerically synthesized. However, the underlying hydrodynamic and thermodynamic evolutions in late-phase loops are different between the two synthetic flare cases. The late-phase peak due to a long-lasting cooling process always occurs during the radiative cooling phase, while that powered by a secondary heating is more likely to take place in the conductive cooling phase. We then propose a new method for diagnosing the two mechanisms based on the shape of EUV late-phase light curves. Moreover, from the partition of energy input, we discuss why most solar flares are not EUV late flares. Finally, by addressing some other factors that may potentially affect the loop emissions, we also discuss why the EUV late phase is mainly observed in warm coronal emissions.

  6. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  7. Large-scale freestanding nanometer-thick graphite pellicles for mass production of nanodevices beyond 10 nm.

    Science.gov (United States)

    Kim, Seul-Gi; Shin, Dong-Wook; Kim, Taesung; Kim, Sooyoung; Lee, Jung Hun; Lee, Chang Gu; Yang, Cheol-Woong; Lee, Sungjoo; Cho, Sang Jin; Jeon, Hwan Chul; Kim, Mun Ja; Kim, Byung-Gook; Yoo, Ji-Beom

    2015-09-21

    Extreme ultraviolet lithography (EUVL) has received much attention in the semiconductor industry as a promising candidate to extend dimensional scaling beyond 10 nm. We present a new pellicle material, nanometer-thick graphite film (NGF), which shows an extreme ultraviolet (EUV) transmission of 92% at a thickness of 18 nm. The maximum temperature induced by laser irradiation (λ = 800 nm) of 9.9 W cm(-2) was 267 °C, due to the high thermal conductivity of the NGF. The freestanding NGF was found to be chemically stable during annealing at 500 °C in a hydrogen environment. A 50 × 50 mm large area freestanding NGF was fabricated using the wet and dry transfer (WaDT) method. The NGF can be used as an EUVL pellicle for the mass production of nanodevices beyond 10 nm.

  8. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  9. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  10. System performance modeling of extreme ultraviolet lithographic thermal issues

    International Nuclear Information System (INIS)

    Spence, P. A.; Gianoulakis, S. E.; Moen, C. D.; Kanouff, M. P.; Fisher, A.; Ray-Chaudhuri, A. K.

    1999-01-01

    Numerical simulation is used in the development of an extreme ultraviolet lithography Engineering Test Stand. Extensive modeling was applied to predict the impact of thermal loads on key lithographic parameters such as image placement error, focal shift, and loss of CD control. We show that thermal issues can be effectively managed to ensure that their impact on lithographic performance is maintained within design error budgets. (c) 1999 American Vacuum Society

  11. Optical modeling of Fresnel zoneplate microscopes

    International Nuclear Information System (INIS)

    Naulleau, Patrick P.; Mochi, Iacopo; Goldberg, Kenneth A.

    2011-01-01

    Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.

  12. Micro- and Nanoprocessing of Polymers Using a Laser Plasma Extreme Ultraviolet Source

    International Nuclear Information System (INIS)

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Kostecki, J.; Rakowski, R.; Szczurek, A.; Szczurek, M.

    2010-01-01

    Laser plasma with temperature of the order of tens eV can be an efficient source of extreme ultraviolet (EUV). The radiation can be focused using different kind of optics, giving sufficient fluence for some applications. In this work we present results of investigations concerning applications of a laser plasma EUV source based on a double stream gas puff target. The source was equipped with two different grazing incidence collectors. One of them was a multifoil collector, the second one was an axisymmetrical ellipsoidal collector. The multifoil mirror was used mainly in experiments concerning micromachining of organic polymers by direct photo-etching. The experiments were performed for different polymers that were irradiated through a fine metal grid as a contact mask. The smallest element of a pattern structure obtained in this way was 5 μm, while the structure height was 50 μm giving an aspect ratio about 10. The laser-plasma EUV source equipped with the axisymmetrical ellipsoidal collector was used for surface modification of organic polymers and inorganic solids. The surface morphology after irradiation was investigated. Different forms of micro- and nanostructures were obtained depending on material and irradiation conditions. (author)

  13. First light on EBL2

    NARCIS (Netherlands)

    Koster, N.B.; Sligte, E. te; Molkenboer, F.T.; Deutz, A.F.; Walle, P. van der; Muilwijk, P.M.; Mulckhuyse, W.F.W.; Oostdijck, B.W.; Hollemans, C.L.; Nijland, B.A.H.; Kerkhof, P.J.; Putten, M. van; Westerhout, J.

    2017-01-01

    TNO is building EBL2 as a publicly accessible test facility for EUV lithography related development of photomasks, pellicles, optics, and other components requiring EUV exposure. EBL2 consists of a EUV Beam Line, a XPS system, and sample handling infrastructure. Recently we finished installation of

  14. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  15. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H2S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    International Nuclear Information System (INIS)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S.; Nuevo, M.; Jiménez-Escobar, A.; Muñoz Caro, G. M.; Wu, C.-Y. R.; Fung, H.-S.; Ip, W.-H.

    2015-01-01

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H 2 S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H 2 S and CO 2 :H 2 S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS 2 , OCS, SO 2 , etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H 2 S ice mixtures is higher than that of CO 2 :H 2 S ice mixtures; (2) a lower concentration of H 2 S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS 2 differ significantly upon VUV and EUV irradiations. Furthermore, CS 2 was produced only after VUV photoprocessing of CO:H 2 S ices, while the VUV-induced production of SO 2 occurred only in CO 2 :H 2 S ice mixtures. More generally, the production yields of OCS, H 2 S 2 , and CS 2 were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H 2 S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments

  16. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  17. Extreme ultraviolet (EUV) and FUV calibration facility for special sensor ultraviolet limb imager (SSULI)

    Science.gov (United States)

    Boyer, Craig N.; Osterman, Steven N.; Thonnard, Stefan E.; McCoy, Robert P.; Williams, J. Z.; Parker, S. E.

    1994-09-01

    A facility for calibrating far ultraviolet and extreme ultraviolet instruments has recently been completed at the Naval Research Laboratory. Our vacuum calibration vessel is 2-m in length, 1.67-m in diameter, and can accommodate optical test benches up to 1.2-m wide by 1.5-m in length. A kinematically positioned frame with four axis precision pointing capability of 10 microns for linear translation and .01 degrees for rotation is presently used during vacuum optical calibration of SSULI. The chamber was fabricated from 304 stainless steel and polished internally to reduce surface outgassing. A dust-free environment is maintained at the rear of the vacuum chamber by enclosing the 2-m hinged vacuum access door in an 8 ft. by 8 ft. class 100 clean room. Every effort was made to obtain an oil-free environment within the vacuum vessel. Outgassing products are continually monitored with a 1 - 200 amu residual gas analyzer. An oil-free claw and vane pump evacuates the chamber to 10-2 torr through 4 in. diameter stainless steel roughing lines. High vacuum is achieved and maintained with a magnetically levitated 480 l/s turbo pump and a 3000 l/s He4 cryopump. Either of two vacuum monochrometers, a 1-m f/10.4 or a 0.2-m f/4.5 are coaxially aligned with the optical axis of the chamber and are used to select single UV atomic resonance lines from a windowless capillary or penning discharge UV light source. A calibrated channeltron detector is coaxially mounted with the SSULI detector during calibration. All vacuum valves, the cooling system for the cryopump compressor, and the roughing pump are controlled through optical fibers which are interfaced to a computer through a VME board. Optical fibers were chosen to ensure that complete electrical isolation is maintained between the computer and the vacuum system valves-solenoids and relays.

  18. Results from a new 193nm die-to-database reticle inspection platform

    Science.gov (United States)

    Broadbent, William H.; Alles, David S.; Giusti, Michael T.; Kvamme, Damon F.; Shi, Rui-fang; Sousa, Weston L.; Walsh, Robert; Xiong, Yalin

    2010-05-01

    A new 193nm wavelength high resolution reticle defect inspection platform has been developed for both die-to-database and die-to-die inspection modes. In its initial configuration, this innovative platform has been designed to meet the reticle qualification requirements of the IC industry for the 22nm logic and 3xhp memory generations (and shrinks) with planned extensions to the next generation. The 22nm/3xhp IC generation includes advanced 193nm optical lithography using conventional RET, advanced computational lithography, and double patterning. Further, EUV pilot line lithography is beginning. This advanced 193nm inspection platform has world-class performance and the capability to meet these diverse needs in optical and EUV lithography. The architecture of the new 193nm inspection platform is described. Die-to-database inspection results are shown on a variety of reticles from industry sources; these reticles include standard programmed defect test reticles, as well as advanced optical and EUV product and product-like reticles. Results show high sensitivity and low false and nuisance detections on complex optical reticle designs and small feature size EUV reticles. A direct comparison with the existing industry standard 257nm wavelength inspection system shows measurable sensitivity improvement for small feature sizes

  19. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    Science.gov (United States)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-05-01

    We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W/cm2.

  20. Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

    International Nuclear Information System (INIS)

    Ueno, Yoshifumi; Ariga, Tatsuya; Soumagne, George; Higashiguchi, Takeshi; Kubodera, Shoichi; Pogorelsky, Igor; Pavlishin, Igor; Stolyarov, Daniil; Babzien, Marcus; Kusche, Karl; Yakimenko, Vitaly

    2007-01-01

    We demonstrated efficacy of a CO 2 -laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5 nm at variable laser pulse widths between 200 ps and 25 ns. The plasma target was a 30 μm liquid xenon microjet. To ensure the optimum coupling of CO 2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5 nm EUV emission for different pulse widths of the CO 2 laser. A maximum CE of 0.6% was obtained for a CO 2 laser pulse width of 25 ns at an intensity of 5x10 10 W/cm 2

  1. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  2. Maximum material thickness for extreme ultra-violet and X-ray backlighter probing of dense plasma

    International Nuclear Information System (INIS)

    Huang, H.; Tallents, G.J.

    2008-01-01

    Extreme ultra-violet (EUV) lasers, X-ray lasers and other backlighter sources can be used to probe high-energy density materials if their brightness can overcome self-emission from the material. We investigate the maximum plasma thickness of aluminum, silicon and iron that can be probed with EUV or X-ray photons of energy 89-1243 eV before self-emission from the plasma overwhelms the backlighter output. For a uniform plasma, backlighter transmission decreases exponentially with increasing thickness of the material following Beer's law at a rate dependent on the plasma opacity. We evaluate the plasma opacity with the Los Alamos TOPS opacity data. The self-emission is assumed to be either that of a black body to arise from a plasma in LTE or to only consist of free-free and free-bound emission. It is shown that at higher plasma temperature (≥40 eV), EUV radiation (e.g. photon energy=89 eV) can probe a greater thickness of plasma than X-ray radiation (e.g. photon energy=1243 eV)

  3. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  4. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H{sub 2}S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S. [Department of Physics, National Central University, Jhongli City, Taoyuan County 32054, Taiwan (China); Nuevo, M. [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Jiménez-Escobar, A.; Muñoz Caro, G. M. [Centro de Astrobiología, INTA-CSIC, Torrejón de Ardoz, E-28850 Madrid (Spain); Wu, C.-Y. R. [Space Sciences Center and Department of Physics and Astronomy, University of Southern California, Los Angeles, CA 90089-1341 (United States); Fung, H.-S. [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Ip, W.-H. [Graduate Institute of Astronomy, National Central University, Jhongli City, Taoyuan County 32049, Taiwan (China)

    2015-01-10

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H{sub 2}S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H{sub 2}S and CO{sub 2}:H{sub 2}S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS{sub 2}, OCS, SO{sub 2}, etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H{sub 2}S ice mixtures is higher than that of CO{sub 2}:H{sub 2}S ice mixtures; (2) a lower concentration of H{sub 2}S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS{sub 2} differ significantly upon VUV and EUV irradiations. Furthermore, CS{sub 2} was produced only after VUV photoprocessing of CO:H{sub 2}S ices, while the VUV-induced production of SO{sub 2} occurred only in CO{sub 2}:H{sub 2}S ice mixtures. More generally, the production yields of OCS, H{sub 2}S{sub 2}, and CS{sub 2} were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H{sub 2}S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments.

  5. Spectroscopy for identification of plasma sources for lithography and water window imaging

    International Nuclear Information System (INIS)

    O'Sullivan, Gerry; Dunne, Padraig; Liu, Luning; Lokasani, Ragava; Long, Elaine; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Wu, Tao; Higashiguchi, Takeshi; Li, Bowen; Ohashi, Hayato; Suzuki, Chihiro

    2015-01-01

    The identification of sources for applications that include nanolithography, surface patterning and high resolution imaging is the focus of a considerable activity in the extreme ultraviolet (EUV) or soft x-ray (SXR) spectral regions. We report on the result of a study of the spectra from laser produced plasmas of a number of medium and high Z metals undertaken in order to identify potential sources for use with available multilayer mirrors. The main focus was the study of unresolved transition arrays emitted from ions with 3d, 4d and 4f valence subshells that emit strongly in the water window (2.34-4.38 nm).and that could be used for biological imaging or cell tomography. (paper)

  6. Automated Identification of Coronal Holes from Synoptic EUV Maps

    Science.gov (United States)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  7. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  8. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  9. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  10. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  11. THE INFLUENCE OF THE EXTREME ULTRAVIOLET SPECTRAL ENERGY DISTRIBUTION ON THE STRUCTURE AND COMPOSITION OF THE UPPER ATMOSPHERE OF EXOPLANETS

    Energy Technology Data Exchange (ETDEWEB)

    Guo, J. H. [Yunnan Observatories, Chinese Academy of Sciences, P.O. Box 110, Kunming 650011 (China); Ben-Jaffel, Lotfi, E-mail: guojh@ynao.ac.cn, E-mail: bjaffel@iap.fr [Sorbonne Universités, UPMC Univ. Paris 6 et CNRS, UMR 7095, Institut Astrophysique de Paris, F-75014 Paris (France)

    2016-02-20

    By varying the profiles of stellar extreme ultraviolet (EUV) spectral energy distributions (SEDs), we tested the influences of stellar EUV SEDs on the physical and chemical properties of an escaping atmosphere. We apply our model to study four exoplanets: HD 189733b, HD 209458b, GJ 436b, and Kepler-11b. We find that the total mass loss rates of an exoplanet, which are determined mainly by the integrated fluxes, are moderately affected by the profiles of the EUV SED, but the composition and species distributions in the atmosphere can be dramatically modified by the different profiles of the EUV SED. For exoplanets with a high hydrodynamic escape parameter (λ), the amount of atomic hydrogen produced by photoionization at different altitudes can vary by one to two orders of magnitude with the variation of stellar EUV SEDs. The effect of photoionization of H is prominent when the EUV SED is dominated by the low-energy spectral region (400–900 Å), which pushes the transition of H/H{sup +} to low altitudes. In contrast, the transition of H/H{sup +} moves to higher altitudes when most photons are concentrated in the high-energy spectral region (50–400 Å). For exoplanets with a low λ, the lower temperatures of the atmosphere make many chemical reactions so important that photoionization alone can no longer determine the composition of the escaping atmosphere. For HD 189733b, it is possible to explain the time variability of Lyα between 2010 and 2011 by a change in the EUV SED of the host K-type star, yet invoking only thermal H i in the atmosphere.

  12. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  13. Divertor extreme ultraviolet (EUV) survey spectroscopy in DIII-D

    Science.gov (United States)

    McLean, Adam; Allen, Steve; Ellis, Ron; Jarvinen, Aaro; Soukhanovskii, Vlad; Boivin, Rejean; Gonzales, Eduardo; Holmes, Ian; Kulchar, James; Leonard, Anthony; Williams, Bob; Taussig, Doug; Thomas, Dan; Marcy, Grant

    2017-10-01

    An extreme ultraviolet spectrograph measuring resonant emissions of D and C in the lower divertor has been added to DIII-D to help resolve an 2X discrepancy between bolometrically measured radiated power and that predicted by boundary codes for DIII-D, JET and ASDEX-U. With 290 and 450 gr/mm gratings, the DivSPRED spectrometer, an 0.3 m flat-field McPherson model 251, measures ground state transitions for D (the Lyman series) and C (e.g., C IV, 155 nm) which account for >75% of radiated power in the divertor. Combined with Thomson scattering and imaging in the DIII-D divertor, measurements of position, temperature and fractional power emission from plasma components are made and compared to UEDGE/SOLPS-ITER. Mechanical, optical, electrical, vacuum, and shielding aspects of DivSPRED are presented. Work supported under USDOE Cooperative Agreement DE-FC02-04ER54698 and DE-AC52-07NA27344, and by the LLNL Laboratory Directed R&D Program, project #17-ERD-020.

  14. Periodic nanostructures imprinted on high-temperature stable sol–gel films by ultraviolet-based nanoimprint lithography for photovoltaic and photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Back, Franziska [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany); Bockmeyer, Matthias; Rudigier-Voigt, Eveline [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Löbmann, Peer [Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-07-01

    Nanostructured sol–gel films with high-temperature stability are used in the area of electronics, photonics or biomimetic materials as light-trapping architectures in solar cells, displays, waveguides or as superhydrophobic surfaces with a lotus effect. In this work, high-temperature stable 2-μm nanostructured surfaces were prepared by ultraviolet-based nanoimprint lithography using an alkoxysilane binder incorporating modified silica nanoparticles. Material densification during thermal curing and microstructural evolution which are destined for a high structural fidelity of nanostructured films were investigated in relation to precursor chemistry, particle morphology and particle content of the imprint resist. The mechanism for densification and shrinkage of the films was clarified and correlated with the structural fidelity to explain the influence of the geometrical design on the optical properties. A high internal coherence of the microstructure of the nanostructured films results in a critical film thickness of > 5 μm. The structured glassy layers with high inorganic content show thermal stability up to 800 °C and have a high structural fidelity > 90% with an axial shrinkage of 16% and a horizontal shrinkage of 1%. This material allows the realization of highly effective light-trapping architectures for polycrystalline silicon thin-film solar cells on glass but also for the preparation of 2D photonic crystals for telecommunication wavelengths. - Highlights: • Fundamental research • Hybrid sol–gel material with high-temperature stability and contour accuracy • Ensuring of cost-efficient and industrially feasible processing • Application in photonic and photovoltaic.

  15. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  16. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  17. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  18. The lithographer's dilemma: shrinking without breaking the bank

    Science.gov (United States)

    Levinson, Harry J.

    2013-10-01

    It can no longer be assumed that the lithographic scaling which has previously driven Moore's Law will lead in the future to reduced cost per transistor. Until recently, higher prices for lithography tools were offset by improvements in scanner productivity. The necessity of using double patterning to extend scaling beyond the single exposure resolution limit of optical lithography has resulted in a sharp increase in the cost of patterning a critical construction layer that has not been offset by improvements in exposure tool productivity. Double patterning has also substantially increased the cost of mask sets. EUV lithography represents a single patterning option, but the combination of very high exposure tools prices, moderate throughput, high maintenance costs, and expensive mask blanks makes this a solution more expensive than optical double patterning but less expensive than triple patterning. Directed self-assembly (DSA) could potentially improve wafer costs, but this technology currently is immature. There are also design layout and process integration issues associated with DSA that need to be solved in order to obtain full benefit from tighter pitches. There are many approaches for improving the cost effectiveness of lithography. Innovative double patterning schemes lead to smaller die. EUV lithography productivity can be improved with higher power light sources and improved reliability. There are many technical and business challenges for extending EUV lithography to higher numerical apertures. Efficient contact hole and cut mask solutions are needed, as well as very tight overlay control, regardless of lithographic solution.

  19. High brightness extreme ultraviolet (at 13.5 nm) emission from time-of-flight controlled discharges with coaxial fuel injection

    International Nuclear Information System (INIS)

    Hosokai, Tomonao; Horioka, Kazuhiko; Hotta, Eiki; Yokoyama, Takuma; Sato, Hiroto; Zhidkov, Alexei

    2008-01-01

    Extreme ultraviolet (EUV) emission from discharge produced plasma with the coaxial injection of fuel vapor (tin and lithium) produced by laser ablation is experimentally studied. Multiple plasma pinches preceding a strong and long recombination radiation of EUV are observed in the first half cycle of a sinusoidal discharge current. Due to the time-of-flight control type of the discharge, the shape of pinch radiation pulses is almost identical. With the coaxial injection of time-of-flight controlled discharges, the highest brightness of EUV emission (maximum extracted energy of 244.3 mJ/2π sr per pulse with the emitter size of ∼1x0.3 mm 2 in full width at half maximum) is provided with efficiency exceeding 2% of deposited energy into the plasma (or 1% of dissipated energy in the discharge) due to a much better matching with the optimal plasma parameters in the recombination regime and a decrease in the off-duty factor. Stability of emitting plasma of the repetitive pinches is essentially improved with use of a second laser pulse

  20. Optics and multilayer coatings for EUVL systems

    Energy Technology Data Exchange (ETDEWEB)

    Soufli, R; Bajt, S; Hudyma, R M; Taylor, J S

    2008-03-21

    EUV lithography (EUVL) employs illumination wavelengths around 13.5 nm, and in many aspects it is considered an extension of optical lithography, which is used for the high-volume manufacturing (HVM) of today's microprocessors. The EUV wavelength of illumination dictates the use of reflective optical elements (mirrors) as opposed to the refractive lenses used in conventional lithographic systems. Thus, EUVL tools are based on all-reflective concepts: they use multilayer (ML) coated optics for their illumination and projection systems, and they have a ML-coated reflective mask.

  1. A preliminary estimate of the EUVE cumulative distribution of exposure time on the unit sphere. [Extreme Ultra-Violet Explorer

    Science.gov (United States)

    Tang, C. C. H.

    1984-01-01

    A preliminary study of an all-sky coverage of the EUVE mission is given. Algorithms are provided to compute the exposure of the celestial sphere under the spinning telescopes, taking into account that during part of the exposure time the telescopes are blocked by the earth. The algorithms are used to give an estimate of exposure time at different ecliptic latitudes as a function of the angle of field of view of the telescope. Sample coverage patterns are also given for a 6-month mission.

  2. AN AUTOMATIC DETECTION METHOD FOR EXTREME-ULTRAVIOLET DIMMINGS ASSOCIATED WITH SMALL-SCALE ERUPTION

    Energy Technology Data Exchange (ETDEWEB)

    Alipour, N.; Safari, H. [Department of Physics, University of Zanjan, P.O. Box 45195-313, Zanjan (Iran, Islamic Republic of); Innes, D. E. [Max-Planck Institut fuer Sonnensystemforschung, 37191 Katlenburg-Lindau (Germany)

    2012-02-10

    Small-scale extreme-ultraviolet (EUV) dimming often surrounds sites of energy release in the quiet Sun. This paper describes a method for the automatic detection of these small-scale EUV dimmings using a feature-based classifier. The method is demonstrated using sequences of 171 Angstrom-Sign images taken by the STEREO/Extreme UltraViolet Imager (EUVI) on 2007 June 13 and by Solar Dynamics Observatory/Atmospheric Imaging Assembly on 2010 August 27. The feature identification relies on recognizing structure in sequences of space-time 171 Angstrom-Sign images using the Zernike moments of the images. The Zernike moments space-time slices with events and non-events are distinctive enough to be separated using a support vector machine (SVM) classifier. The SVM is trained using 150 events and 700 non-event space-time slices. We find a total of 1217 events in the EUVI images and 2064 events in the AIA images on the days studied. Most of the events are found between latitudes -35 Degree-Sign and +35 Degree-Sign . The sizes and expansion speeds of central dimming regions are extracted using a region grow algorithm. The histograms of the sizes in both EUVI and AIA follow a steep power law with slope of about -5. The AIA slope extends to smaller sizes before turning over. The mean velocity of 1325 dimming regions seen by AIA is found to be about 14 km s{sup -1}.

  3. A poly(dimethylsiloxane)-coated flexible mold for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Nae Yoon; Kim, Youn Sang

    2007-01-01

    In this paper, we introduce an anti-adhesion poly(dimethylsiloxane) (PDMS)-coated flexible mold and its applications for room-temperature imprint lithography. The flexible mold is fabricated using an ultraviolet-curable prepolymer on a flexible substrate, and its surface is passivated with a thin layer of PDMS to impart an anti-adhesion property. The highly flexible mold enables conformal contact with a substrate on which a low-viscosity polymer resist is spin-cast in a thin layer. Large-area imprinting is then realized at room temperature under significantly reduced pressure. The mold was durable even after repetitive imprinting of over 200 times. Also, we show a double imprinting on the substrate with a PDMS-coated replica polymeric mold having 500 nm line patterns. This enables the formation of matrix patterns with varying feature heights in less than 7 min

  4. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  5. Telescience - Concepts And Contributions To The Extreme Ultraviolet Explorer Mission

    Science.gov (United States)

    Marchant, Will; Dobson, Carl; Chakrabarti, Supriya; Malina, Roger F.

    1987-10-01

    A goal of the telescience concept is to allow scientists to use remotely located instruments as they would in their laboratory. Another goal is to increase reliability and scientific return of these instruments. In this paper we discuss the role of transparent software tools in development, integration, and postlaunch environments to achieve hands on access to the instrument. The use of transparent tools helps to reduce the parallel development of capability and to assure that valuable pre-launch experience is not lost in the operations phase. We also discuss the use of simulation as a rapid prototyping technique. Rapid prototyping provides a cost-effective means of using an iterative approach to instrument design. By allowing inexpensive produc-tion of testbeds, scientists can quickly tune the instrument to produce the desired scientific data. Using portions of the Extreme Ultraviolet Explorer (EUVE) system, we examine some of the results of preliminary tests in the use of simulation and tran-sparent tools. Additionally, we discuss our efforts to upgrade our software "EUVE electronics" simulator to emulate a full instrument, and give the pros and cons of the simulation facilities we have developed.

  6. Fundamental study on dissolution behavior of poly(methyl methacrylate) by quartz crystal microbalance

    Science.gov (United States)

    Konda, Akihiro; Yamamoto, Hiroki; Yoshitake, Shusuke; Kozawa, Takahiro

    2016-03-01

    Ionizing radiations such as extreme ultraviolet (EUV) and electron beam (EB) are the most promising exposure source for next-generation lithographic technology. In the realization of high resolution lithography, it is necessary for resist materials to improve the trade-off relationship among sensitivity, resolution, and line width roughness (LWR). In order to overcome them, it is essential to understand basic chemistry of resist matrices in resist processes. In particular, the dissolution process of resist materials is a key process. Therefore, it is essential for next-generation resist design for ionizing radiation to clarify the dissolution behavior of the resist film into developer. However, the details in dissolution process of EUV and EB resist films have not been investigated thus far. In this study, main chain scission and dissolution behavior of poly(methyl methacrylate) (PMMA) as main chain scission type resist was investigated using quartz crystal microbalance (QCM) method and gel permeation chromatography (GPC) in order to understand the relationship between the degree of PMMA degradation and dissolution behavior. The relationship between the molecular weight after irradiation and the swelling behavior was clarified.

  7. Development of a thinned back-illuminated CMOS active pixel sensor for extreme ultraviolet spectroscopy and imaging in space science

    International Nuclear Information System (INIS)

    Waltham, N.R.; Prydderch, M.; Mapson-Menard, H.; Pool, P.; Harris, A.

    2007-01-01

    We describe our programme to develop a large-format, science-grade, monolithic CMOS active pixel sensor for future space science missions, and in particular an extreme ultraviolet (EUV) spectrograph for solar physics studies on ESA's Solar Orbiter. Our route to EUV sensitivity relies on adapting the back-thinning and rear-illumination techniques first developed for CCD sensors. Our first large-format sensor consists of 4kx3k 5 μm pixels fabricated on a 0.25 μm CMOS imager process. Wafer samples of these sensors have been thinned by e2v technologies with the aim of obtaining good sensitivity at EUV wavelengths. We present results from both front- and back-illuminated versions of this sensor. We also present our plans to develop a new sensor of 2kx2k 10 μm pixels, which will be fabricated on a 0.35 μm CMOS process. In progress towards this goal, we have designed a test-structure consisting of six arrays of 512x512 10 μm pixels. Each of the arrays has been given a different pixel design to allow verification of our models, and our progress towards optimizing a design for minimal system readout noise and maximum dynamic range. These sensors will also be back-thinned for characterization at EUV wavelengths

  8. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  9. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  10. Invisible marking system by extreme ultraviolet radiation: the new frontier for anti-counterfeiting tags

    International Nuclear Information System (INIS)

    Lazzaro, P. Di; Bollanti, S.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.; Bonfigli, F.; Montereali, R.M.; Vincenti, M.A.

    2016-01-01

    We present a marking technology which uses extreme ultraviolet radiation to write invisible patterns on tags based on alkali fluoride thin films. The shape of the pattern is pre-determined by a mask (in the case of contact lithography) or by a suitable mirror (projection lithography). Tags marked using this method offer a much better protection against fakes than currently available anti-counterfeiting techniques. The complexity and cost of this technology can be tailored to the value of the good to be protected, leaving, on the other hand, the specific reading technique straightforward. So far, we have exploited our invisible marking to tag artworks, identity cards, electrical components, and containers of radioactive wastes. Advantages and limits of this technology are discussed in comparison with the anti-counterfeiting systems available in the market.

  11. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  12. TEMPERATURE AND EXTREME-ULTRAVIOLET INTENSITY IN A CORONAL PROMINENCE CAVITY AND STREAMER

    Energy Technology Data Exchange (ETDEWEB)

    Kucera, T. A. [NASA/GSFC, Code 671, Greenbelt, MD 20771 (United States); Gibson, S. E.; Schmit, D. J. [HAO/NCAR, P.O. Box 3000, Boulder, CO 80307-3000 (United States); Landi, E. [Department of Atmospheric, Oceanic and Space Science, Space Research Building, University of Michigan, 2455 Hayward St., Ann Arbor, MI 48109-2143 (United States); Tripathi, D. [Inter-University Centre for Astronomy and Astrophysics, Post Bag-4, Ganeshkhind, Pune University Campus, Pune 411 007 (India)

    2012-09-20

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 August 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model predictions to the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) Mark 4 K-coronameter. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude-dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude-dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the EUV line intensities by a factor of 4-10, without overestimating pB. We discuss this difference in terms of filling factors and uncertainties in density diagnostics and elemental abundances.

  13. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  14. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  15. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  16. Radiation damage resistance of AlGaN detectors for applications in the extreme-ultraviolet spectral range

    Energy Technology Data Exchange (ETDEWEB)

    Barkusky, Frank; Peth, Christian; Bayer, Armin; Mann, Klaus [Laser-Laboratorium-Goettingen e.V., Hans-Adolf-Krebs-Weg 1, D-37077 Goettingen (Germany); John, Joachim; Malinowski, Pawel E. [Interuniversity MicroElectronic Center (IMEC), Kapeldreef 75, B-3001 Leuven (Belgium)

    2009-09-15

    We report on the fabrication of aluminum gallium nitride (AlGaN) Schottky-photodiode-based detectors. AlGaN layers were grown using metal-organic chemical vapor deposition (MOCVD) on Si(111) wafers. The diodes were characterized at a wavelength of 13.5 nm using a table-top extreme-ultraviolet (EUV) radiation source, consisting of a laser-produced xenon plasma and a Schwarzschild objective. The responsivity of the diodes was tested between EUV energies ranging from 320 nJ down to several picojoules. For low fluences, a linear responsivity of 7.14 mAs/J could be determined. Saturation starts at approximately 1 nJ, merging into a linear response of 0.113 mAs/J, which could be attributed to the photoeffect on the Au electrodes on top of the diode. Furthermore, degradation tests were performed up to an absolute dose of 3.3x10{sup 19} photons/cm{sup 2}. AlGaN photodiodes were compared to commercially available silicon-based photodetectors. For AlGaN diodes, responsivity does not change even for the highest EUV dose, whereas the response of the Si diode decreases linearly to {approx}93% after 2x10{sup 19} photons/cm{sup 2}.

  17. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  18. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  19. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  20. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  1. FY 2000 report on the results of the regional consortium R and D project - Regional consortium energy R and D. Development of new vacuum ultraviolet area optical materials realizing next generation short wavelength optical lithography; 2000 nendo chiiki consortium kenkyu kaihatsu jigyo - chiiki consortium energy kenkyu kaihatsu. Jisedai tanhacho hikari lithography wo jitsugensuru shinku shigaiiki kogaku zairyo no kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    As materials for next generation lithography use optical device using short wavelength light sources such as F{sub 2} and Ar{sub 2}, the development was proceeded with of fluoride monocrystal materials and 12-inch class large/high quality monocrystal production technology. Studies were made in the following five fields: 1) proposal/design of new materials and the heightening of performance: 2) establishment of the large/high quality crystal production method; 3) evaluation of optical properties and elucidation of micro-defect formation mechanism; 4) comprehensive investigational research; 5) study of the evaluation technology by vacuum ultraviolet area pulse light. In 1), for the development of the optimum materials, a lot of materials were tried to be monocrystallized, and the permeability was estimated by measuring the reflectance in the vacuum ultraviolet area. As to LiCaAlF{sub 6}, monocrystal with 1-inch diameter was made by the Bridgman method. In 2), studies were made of conditions for large crystal growth by the pull method, large crystal growth by the Bridgman method, and the structure of production equipment for crystals with larger diameter. (NEDO)

  2. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  3. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  4. A volume-limited ROSAT survey of extreme ultraviolet emission from all nondegenerate stars within 10 parsecs

    Science.gov (United States)

    Wood, Brian E.; Brown, Alexander; Linsky, Jeffrey L.; Kellett, Barry J.; Bromage, Gordon E.; Hodgkin, Simon T.; Pye, John P.

    1994-01-01

    We report the results of a volume-limited ROSAT Wide Field Camera (WFC) survey of all nondegenerate stars within 10 pc. Of the 220 known star systems within 10 pc, we find that 41 are positive detections in at least one of the two WFC filter bandpasses (S1 and S2), while we consider another 14 to be marginal detections. We compute X-ray luminosities for the WFC detections using Einstein Imaging Proportional Counter (IPC) data, and these IPC luminosities are discussed along with the WFC luminosities throughout the paper for purposes of comparison. Extreme ultraviolet (EUV) luminosity functions are computed for single stars of different spectral types using both S1 and S2 luminosities, and these luminosity functions are compared with X-ray luminosity functions derived by previous authors using IPC data. We also analyze the S1 and S2 luminosity functions of the binary stars within 10 pc. We find that most stars in binary systems do not emit EUV radiation at levels different from those of single stars, but there may be a few EUV-luminous multiple-star systems which emit excess EUV radiation due to some effect of binarity. In general, the ratio of X-ray luminosity to EUV luminosity increases with increasing coronal emission, suggesting that coronally active stars have higher coronal temperatures. We find that our S1, S2, and IPC luminosities are well correlated with rotational velocity, and we compare activity-rotation relations determined using these different luminosities. Late M stars are found to be significantly less luminous in the EUV than other late-type stars. The most natural explanation for this results is the concept of coronal saturation -- the idea that late-type stars can emit only a limited fraction of their total luminosity in X-ray and EUV radiation, which means stars with very low bolometric luminosities must have relatively low X-ray and EUV luminosities as well. The maximum level of coronal emission from stars with earlier spectral types is studied

  5. Mode Conversion of a Solar Extreme-ultraviolet Wave over a Coronal Cavity

    Energy Technology Data Exchange (ETDEWEB)

    Zong, Weiguo [Key Laboratory of Space Weather, National Center for Space Weather, China Meteorological Administration, Beijing 100081 (China); Dai, Yu, E-mail: ydai@nju.edu.cn [Key Laboratory of Modern Astronomy and Astrophysics (Nanjing University), Ministry of Education, Nanjing 210023 (China)

    2017-01-10

    We report on observations of an extreme-ultraviolet (EUV) wave event in the Sun on 2011 January 13 by Solar Terrestrial Relations Observatory and Solar Dynamics Observatory in quadrature. Both the trailing edge and the leading edge of the EUV wave front in the north direction are reliably traced, revealing generally compatible propagation velocities in both perspectives and a velocity ratio of about 1/3. When the wave front encounters a coronal cavity near the northern polar coronal hole, the trailing edge of the front stops while its leading edge just shows a small gap and extends over the cavity, meanwhile getting significantly decelerated but intensified. We propose that the trailing edge and the leading edge of the northward propagating wave front correspond to a non-wave coronal mass ejection component and a fast-mode magnetohydrodynamic wave component, respectively. The interaction of the fast-mode wave and the coronal cavity may involve a mode conversion process, through which part of the fast-mode wave is converted to a slow-mode wave that is trapped along the magnetic field lines. This scenario can reasonably account for the unusual behavior of the wave front over the coronal cavity.

  6. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources

    International Nuclear Information System (INIS)

    Gaufridy de Dortan, F. de

    2006-01-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  7. An Antireflective Nanostructure Array Fabricated by Nanosilver Colloidal Lithography on a Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Park Seong-Je

    2010-01-01

    Full Text Available Abstract An alternative method is presented for fabricating an antireflective nanostructure array using nanosilver colloidal lithography. Spin coating was used to produce the multilayered silver nanoparticles, which grew by self-assembly and were transformed into randomly distributed nanosilver islands through the thermodynamic action of dewetting and Oswald ripening. The average size and coverage rate of the islands increased with concentration in the range of 50–90 nm and 40–65%, respectively. The nanosilver islands were critically affected by concentration and spin speed. The effects of these two parameters were investigated, after etching and wet removal of nanosilver residues. The reflection nearly disappeared in the ultraviolet wavelength range and was 17% of the reflection of a bare silicon wafer in the visible range.

  8. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  9. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  10. An extreme ultraviolet wave associated with a failed eruption observed by the Solar Dynamics Observatory

    Science.gov (United States)

    Zheng, R.; Jiang, Y.; Yang, J.; Bi, Y.; Hong, J.; Yang, B.; Yang, D.

    2012-05-01

    Aims: Taking advantage of the high temporal and spatial resolution of the Solar Dynamics Observatory (SDO) observations, we present an extreme ultraviolet (EUV) wave associated with a failed filament eruption that generated no coronal mass ejection (CME) on 2011 March 1. We aim at understanding the nature and origin of this EUV wave. Methods: Combining the high-quality observations in the photosphere, the chromosphere, and the corona, we studied the characteristics of the wave and its relations to the associated eruption. Results: The event occurred at an ephemeral region near a small active region. The continuous magnetic flux cancelation in the ephemeral region produced pre-eruption brightenings and two EUV jets, and excited the filament eruption, accompanying it with a microflare. After the eruption, the filament material appeared far from the eruption center, and the ambient loops seemed to be intact. It was evident that the filament eruption had failed and was not associated with a CME. The wave happened just after the north jet arrived, and apparently emanated ahead of the north jet, far from the eruption center. The wave propagated at nearly constant velocities in the range of 260-350 km s-1, with a slight negative acceleration in the last phase. Remarkably, the wave continued to propagate, and a loop in its passage was intact when wave and loop met. Conclusions: Our analysis confirms that the EUV wave is a true wave, which we interpret as a fast-mode wave. In addition, the close temporal and spatial relationship between the wave and the jet provides evidence that the wave was likely triggered by the jet when the CME failed to happen. Three movies are available in electronic form at http://www.aanda.org

  11. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  12. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  13. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  14. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  15. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  16. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources; SCRIC: un code pour calculer l'absorption et l'emission detaillees de plasmas hors equilibre, inhomogenes et etendus; application aux sources EUV a base de xenon

    Energy Technology Data Exchange (ETDEWEB)

    Gaufridy de Dortan, F. de

    2006-07-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  17. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  18. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  19. Atomic processes and equation of state of high Z plasmas for EUV sources and their effects on the spatial and temporal evolution of the plasmas

    Science.gov (United States)

    Sasaki, Akira; Sunahara, Atushi; Furukawa, Hiroyuki; Nishihara, Katsunobu; Nishikawa, Takeshi; Koike, Fumihiro

    2016-03-01

    Laser-produced plasma (LPP) extreme ultraviolet (EUV) light sources have been intensively investigated due to potential application to next-generation semiconductor technology. Current studies focus on the atomic processes and hydrodynamics of plasmas to develop shorter wavelength sources at λ = 6.x nm as well as to improve the conversion efficiency (CE) of λ = 13.5 nm sources. This paper examines the atomic processes of mid-z elements, which are potential candidates for λ = 6.x nm source using n=3-3 transitions. Furthermore, a method to calculate the hydrodynamics of the plasmas in terms of the initial interaction between a relatively weak prepulse laser is presented.

  20. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  1. Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography

    Directory of Open Access Journals (Sweden)

    Harutaka Mekaru

    2015-02-01

    Full Text Available In combination with tapered-trench-etching of Si and SU-8 photoresist, a grayscale mask for deep X-ray lithography was fabricated and passed a 10-times-exposure test. The performance of the X-ray grayscale mask was evaluated using the TERAS synchrotron radiation facility at the National Institute of Advanced Industrial Science and Technology (AIST. Although the SU-8 before photo-curing has been evaluated as a negative-tone photoresist for ultraviolet (UV and X-ray lithographies, the characteristic of the SU-8 after photo-curing has not been investigated. A polymethyl methacrylate (PMMA sheet was irradiated by a synchrotron radiation through an X-ray mask, and relationships between the dose energy and exposure depth, and between the dose energy and dimensional transition, were investigated. Using such a technique, the shape of a 26-μm-high Si absorber was transformed into the shape of a PMMA microneedle with a height of 76 μm, and done with a high contrast. Although during the fabrication process of the X-ray mask a 100-μm-pattern-pitch (by design was enlarged to 120 μm. However, with an increase in an integrated dose energy this number decreased to 99 μm. These results show that the X-ray grayscale mask has many practical applications. In this paper, the author reports on the evaluation results of SU-8 when used as a membrane material for an X-ray mask.

  2. Absolute calibration of a SPRED [Spectrometer Recording Extended Domain] EUV [extreme ultraviolet] spectrograph for use on the DIII-D tokamak

    International Nuclear Information System (INIS)

    Wood, R.D.; Allen, S.L.

    1988-01-01

    We have performed an absolute intensity calibration of a SPRED multichannel EUV spectrograph using synchrotron radiation from the NBS SURF-II electron storage ring. The calibration procedure and results for both a survey grating (450 g/mm) and a high-resolution (2100 g/mm) grating are presented. The spectrograph is currently in use on the DIII-D tokamak with a tangential line-of-sight at the plasma midplane. Data is first acquired and processed by a microcomputer; the absolute line intensities are then sent to the DIII-D database for comparison with data from other diagnostics. Representative data from DIII-D plasma operations will be presented. 6 refs., 3 figs., 1 tab

  3. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  4. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  5. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  6. Low-k films modification under EUV and VUV radiation

    International Nuclear Information System (INIS)

    Rakhimova, T V; Rakhimov, A T; Mankelevich, Yu A; Lopaev, D V; Kovalev, A S; Vasil'eva, A N; Zyryanov, S M; Kurchikov, K; Proshina, O V; Voloshin, D G; Novikova, N N; Krishtab, M B; Baklanov, M R

    2014-01-01

    Modification of ultra-low-k films by extreme ultraviolet (EUV) and vacuum ultraviolet (VUV) emission with 13.5, 58.4, 106, 147 and 193 nm wavelengths and fluences up to 6 × 10 18  photons cm −2 is studied experimentally and theoretically to reveal the damage mechanism and the most ‘damaging’ spectral region. Organosilicate glass (OSG) and organic low-k films with k-values of 1.8–2.5 and porosity of 24–51% are used in these experiments. The Si–CH 3 bonds depletion is used as a criterion of VUV damage of OSG low-k films. It is shown that the low-k damage is described by two fundamental parameters: photoabsorption (PA) cross-section σ PA and effective quantum yield φ of Si–CH 3 photodissociation. The obtained σ PA and φ values demonstrate that the effect of wavelength is defined by light absorption spectra, which in OSG materials is similar to fused silica. This is the reason why VUV light in the range of ∼58–106 nm having the highest PA cross-sections causes strong Si–CH 3 depletion only in the top part of the films (∼50–100 nm). The deepest damage is observed after exposure to 147 nm VUV light since this emission is located at the edge of Si–O absorption, has the smallest PA cross-section and provides extensive Si–CH 3 depletion over the whole film thickness. The effective quantum yield slowly increases with the increasing porosity but starts to grow quickly when the porosity exceeds the critical threshold located close to a porosity of ∼50%. The high degree of pore interconnectivity of these films allows easy movement of the detached methyl radicals. The obtained results have a fundamental character and can be used for prediction of ULK material damage under VUV light with different wavelengths. (paper)

  7. Challenges and solutions ensuring EUVL photomask integrity

    Science.gov (United States)

    Brux, O.; Dreß, P.; Schmalfuß, H.; Jonckheere, R.; Koolen-Hermkens, W.

    2012-06-01

    Industry roadmaps indicate that the introduction of Extreme Ultraviolet Lithography (EUVL) is on track for high volume manufacturing. Although, there has been significant progress in each of the individual subsets of the EUVL infrastructure, the absolute management of the process outside of the scanner and up to the point-of-exposure has been highlighted as critical requirement for the adoption of EUVL. Significant changes in the EUV system environment and mask architecture are driving a zero process tolerance level. Any unforeseen contamination introduced to the scanner environment from the EUV mask could cause considerable downtime and yield loss. Absolute mask integrity at the point-of-exposure must be guaranteed. EUV mask cleaning processes-of-record have been developed and introduced to the industry [1]. The issue is not longer "how to clean the mask" but, "how to keep it clean". With the introduction of EUVL, mask cleanliness extends out beyond the traditional mask cleaning tool. Complete control of contamination and/or particles during transportation, handling and storage will require a holistic approach to mask management. A new environment specifically for EUV mask integrity must be developed and fully tested for the sub 16nm half-pitch node introduction. The SUSS MaskTrack Pro (MTP) InSync was introduced as the solution for EUV mask integrity. SUSS demonstrated the fully automated handling of EUV masks into and out of a Dual Pod System [2]. Intrinsic cleanliness of each individual handling and storage step of the inner pod (EIP) and EUV mask inside the MTP InSync Tool was investigated and reported. A target specification of a PRP cleaning by measuring particles down to 150nm size. A dynamic capture rate of larger 97% at 200nm particle size based on PSLs was achieved; for EIP cleaning, a dry-cleaning technology is under investigation. During feasibility studies high particle removal efficiency (PRE) results larger 99% has been achieved for particles down

  8. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  9. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  10. Sub-50-as isolated extreme ultraviolet continua generated by 1.6-cycle near-infrared pulse combined with double optical gating scheme

    Science.gov (United States)

    Oguri, Katsuya; Mashiko, Hiroki; Ogawa, Tatsuya; Hanada, Yasutaka; Nakano, Hidetoshi; Gotoh, Hideki

    2018-04-01

    We demonstrate the generation of ultrabroad bandwidth attosecond continua extending to sub-50-as duration in the extreme ultraviolet (EUV) region based on a 1.6-cycle Ti:sapphire laser pulse. The combination of the amplitude gating scheme with a sub-two-cycle driver pulse and the double optical gating scheme achieves the continuum generation with a bandwidth of 70 eV at the full width at half maximum near the peak photon energy of 140 eV, which supports a Fourier-transform-limited pulse duration as short as 32 as. The carrier-envelope-phase (CEP) dependence of the attosecond continua shows a single-peak structure originating from the half-cycle cut-off at appropriate CEP values, which strongly indicates the generation of a single burst of an isolated attosecond pulse. Our approach suggests a possibility for isolated sub-50-as pulse generation in the EUV region by compensating for the intrinsic attosecond chirp with a Zr filter.

  11. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  12. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  13. The Extreme Ultraviolet Flux of Very Low Mass Stars

    Science.gov (United States)

    Drake, Jeremy

    2017-09-01

    The X-ray and EUV emission of stars is vital for understanding the atmospheres and evolution of their planets. The coronae of dwarf stars later than M6 behave differently to those of earlier spectral types and are more X-ray dim and radio bright. Too faint to have been observed by EUVE, their EUV behavior is currently highly uncertain. We propose to observe a small sample of late M dwarfs using the off-axis HRC-S thin Al" filter that is sensitive to EUV emission in the 50-200 A range. The measured fluxes will be used to understand the amount of cooler coronal plasma present, and extend X-ray-EUV flux relations to the latest stellar types.

  14. A fast-time-response extreme ultraviolet spectrometer for measurement of impurity line emissions in the Experimental Advanced Superconducting Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ling; Xu, Zong; Wu, Zhenwei; Zhang, Pengfei; Wu, Chengrui; Gao, Wei; Shen, Junsong; Chen, Yingjie; Liu, Xiang; Wang, Yumin; Gong, Xianzu; Hu, Liqun; Chen, Junlin; Zhang, Xiaodong; Wan, Baonian; Li, Jiangang [Institute of Plasma Physics Chinese Academy of Sciences, Hefei 230026, Anhui (China); Morita, Shigeru; Ohishi, Tetsutarou; Goto, Motoshi [National Institute for Fusion Science, Toki 509-5292, Gifu (Japan); Department of Fusion Science, Graduate University for Advanced Studies, Toki 509-5292, Gifu (Japan); Dong, Chunfeng [Southwestern Institute of Physics, Chengdu 610041, Sichuan (China); and others

    2015-12-15

    A flat-field extreme ultraviolet (EUV) spectrometer working in the 20-500 Å wavelength range with fast time response has been newly developed to measure line emissions from highly ionized tungsten in the Experimental Advanced Superconducting Tokamak (EAST) with a tungsten divertor, while the monitoring of light and medium impurities is also an aim in the present development. A flat-field focal plane for spectral image detection is made by a laminar-type varied-line-spacing concave holographic grating with an angle of incidence of 87°. A back-illuminated charge-coupled device (CCD) with a total size of 26.6 × 6.6 mm{sup 2} and pixel numbers of 1024 × 255 (26 × 26 μm{sup 2}/pixel) is used for recording the focal image of spectral lines. An excellent spectral resolution of Δλ{sub 0} = 3-4 pixels, where Δλ{sub 0} is defined as full width at the foot position of a spectral line, is obtained at the 80-400 Å wavelength range after careful adjustment of the grating and CCD positions. The high signal readout rate of the CCD can improve the temporal resolution of time-resolved spectra when the CCD is operated in the full vertical binning mode. It is usually operated at 5 ms per frame. If the vertical size of the CCD is reduced with a narrow slit, the time response becomes faster. The high-time response in the spectral measurement therefore makes possible a variety of spectroscopic studies, e.g., impurity behavior in long pulse discharges with edge-localized mode bursts. An absolute intensity calibration of the EUV spectrometer is also carried out with a technique using the EUV bremsstrahlung continuum at 20-150 Å for quantitative data analysis. Thus, the high-time resolution tungsten spectra have been successfully observed with good spectral resolution using the present EUV spectrometer system. Typical tungsten spectra in the EUV wavelength range observed from EAST discharges are presented with absolute intensity and spectral identification.

  15. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  16. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  17. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  18. Al nanogrid electrode for ultraviolet detectors.

    Science.gov (United States)

    Ding, G; Deng, J; Zhou, L; Gan, Q; Hwang, J C M; Dierolf, V; Bartoli, F J; Mazuir, C; Schoenfeld, W V

    2011-09-15

    Optical properties of Al nanogrids of different pitches and gaps were investigated both theoretically and experimentally. Three-dimensional finite-difference time-domain simulation predicted that surface plasmons at the air/Al interface would enhance ultraviolet transmission through the subwavelength gaps of the nanogrid, making it an effective electrode on GaN-based photodetectors to compensate for the lack of transparent electrode and high p-type doping. The predicted transmission enhancement was verified by confocal scanning optical microscopy performed at 365 nm. The quality of the nanogrids fabricated by electron-beam lithography was verified by near-field scanning optical microscopy and scanning electron microscopy. Based on the results, the pitch and gap of the nanogrids can be optimized for the best trade-off between electrical conductivity and optical transmission at different wavelengths. Based on different cutoff wavelengths, the nanogrids can also double as a filter to render photodetectors solar-blind.

  19. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  20. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  1. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  2. Analysis of buried interfaces in multilayer mirrors using grazing incidence extreme ultraviolet reflectometry near resonance edges.

    Science.gov (United States)

    Sertsu, M G; Nardello, M; Giglia, A; Corso, A J; Maurizio, C; Juschkin, L; Nicolosi, P

    2015-12-10

    Accurate measurements of optical properties of multilayer (ML) mirrors and chemical compositions of interdiffusion layers are particularly challenging to date. In this work, an innovative and nondestructive experimental characterization method for multilayers is discussed. The method is based on extreme ultraviolet (EUV) reflectivity measurements performed on a wide grazing incidence angular range at an energy near the absorption resonance edge of low-Z elements in the ML components. This experimental method combined with the underlying physical phenomenon of abrupt changes of optical constants near EUV resonance edges enables us to characterize optical and structural properties of multilayers with high sensitivity. A major advantage of the method is to perform detailed quantitative analysis of buried interfaces of multilayer structures in a nondestructive and nonimaging setup. Coatings of Si/Mo multilayers on a Si substrate with period d=16.4  nm, number of bilayers N=25, and different capping structures are investigated. Stoichiometric compositions of Si-on-Mo and Mo-on-Si interface diffusion layers are derived. Effects of surface oxidation reactions and carbon contaminations on the optical constants of capping layers and the impact of neighboring atoms' interactions on optical responses of Si and Mo layers are discussed.

  3. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  4. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  5. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  6. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  7. Combined dose and geometry correction (DMG) for low energy multi electron beam lithography (5kV): application to the 16nm node

    Science.gov (United States)

    Martin, Luc; Manakli, Serdar; Bayle, Sebastien; Belledent, Jérôme; Soulan, Sebastien; Wiedemann, Pablo; Farah, Abdi; Schiavone, Patrick

    2012-03-01

    Lithography faces today many challenges to meet the ITRS road-map. 193nm is still today the only existing industrial option to address high volume production for the 22nm node. Nevertheless to achieve such a resolution, double exposure is mandatory for critical level patterning. EUV lithography is still challenged by the availability of high power source and mask defectivity and suffers from a high cost of ownership perspective. Its introduction is now not foreseen before 2015. Parallel to these mask-based technologies, maskless lithography regularly makes significant progress in terms of potential and maturity. The massively parallel e-beam solution appears as a real candidate for high volume manufacturing. Several industrial projects are under development, one in the US, with the KLA REBL project and two in Europe driven by IMS Nanofabrication (Austria; MAPPER (The Netherlands). Among the developments to be performed to secure the takeoff of the multi-beam technology, the availability of a rapid and robust data treatment solution will be one of the major challenges. Within this data preparation flow, advanced proximity effect corrections must be implemented to address the 16nm node and below. This paper will detail this process and compare correction strategies in terms of robustness and accuracy. It will be based on results obtained using a MAPPER tool within the IMAGINE program driven by CEA-LETI, in Grenoble, France. All proximity effects corrections and the dithering step were performed using the software platform Inscale® from Aselta Nanographics. One important advantage of Inscale® is the ability to combine both model based dose and geometry adjustment to accurately pattern critical features. The paper will focus on the advantage of combining those two corrections at the 16nm node instead of using only geometry corrections. Thanks to the simulation capability of Inscale®, pattern fidelity and correction robustness will be evaluated and compared between

  8. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  9. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  10. Resonant heating of a cluster plasma by intense laser light

    International Nuclear Information System (INIS)

    Antonsen, Thomas M. Jr.; Taguchi, Toshihiro; Gupta, Ayush; Palastro, John; Milchberg, Howard M.

    2005-01-01

    Gases of atomic clusters are interaction media for laser pulse propagation with properties useful for applications such as extreme ultraviolet (EUV) and x-ray microscopy, harmonic generation, EUV lithography, and laser plasma acceleration. To understand cluster heating and expansion, a series of two- and three-dimensional electrostatic particle in cell simulations of the explosion of argon clusters of diameter in the range 20 nm-53 nm have been preformed. The studies show that heating is dominated by a nonlinear, resonant absorption process that gives rise to a size-dependent intensity threshold for strong absorption and that controls the dielectric properties of the cluster. Electrons are first accelerated out from the cluster and then driven back into it by the combined effects of the laser field and the electrostatic field produced by the laser-driven charge separation. Above the intensity threshold for strong heating there is a dramatic increase in the production of energetic particles and harmonic radiation. The dielectric properties of a gas of clusters are determined by the ensemble average cluster polarizability. Individual electrons contribute to the polarizability differently depending on whether they are in the core of the cluster or in the outer edge. Consequently, there can be large fluctuations in polarizability during the heating of a cluster

  11. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  12. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  13. High efficiency multilayer blazed gratings for EUV and soft X-rays: Recent developments

    International Nuclear Information System (INIS)

    Voronov, Dmitriy; Ahn, Minseung; Anderson, Erik; Cambie, Rossana; Chang, Chih-Hao; Goray, Leonid; Gullikson, Eric; Heilmann, Ralf; Salmassi, Farhad; Schattenburg, Mark; Warwick, Tony; Yashchuk, Valeriy; Padmore, Howard

    2011-01-01

    Multilayer coated blazed gratings with high groove density are the best candidates for use in high resolution EUV and soft x-ray spectroscopy. Theoretical analysis shows that such a grating can be potentially optimized for high dispersion and spectral resolution in a desired high diffraction order without significant loss of diffraction efficiency. In order to realize this potential, the grating fabrication process should provide a perfect triangular groove profile and an extremely smooth surface of the blazed facets. Here we report on recent progress achieved at the Advanced Light Source (ALS) in fabrication of high quality multilayer coated blazed gratings. The blazed gratings were fabricated using scanning beam interference lithography followed by wet anisotropic etching of silicon. A 200 nm period grating coated with a Mo/Si multilayer composed with 30 bi-layers demonstrated an absolute efficiency of 37.6percent in the 3rd diffraction order at 13.6 nm wavelength. The groove profile of the grating was thoroughly characterized with atomic force microscopy before and after the multilayer deposition. The obtained metrology data were used for simulation of the grating efficiency with the vector electromagnetic PCGrate-6.1 code. The simulations showed that smoothing of the grating profile during the multilayer deposition is the main reason for efficiency losses compared to the theoretical maximum. Investigation of the grating with cross-sectional transmission electron microscopy revealed a complex evolution of the groove profile in the course of the multilayer deposition. Impact of the shadowing and smoothing processes on growth of the multilayer on the surface of the sawtooth substrate is discussed.

  14. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  15. Extreme ultraviolet emission and confinement of tin plasmas in the presence of a magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Roy, Amitava, E-mail: roy@fzu.cz, E-mail: aroy@barc.gov.in [School of Nuclear Engineering and Center for Materials Under Extreme Environment(CMUXE), Purdue University, West Lafayette, Indiana 47907 (United States); HiLASE Project, Department of Diode-pumped Lasers, Institute of Physics of the ASCR, Na Slovance 2, 18221 Prague (Czech Republic); Murtaza Hassan, Syed; Harilal, Sivanandan S.; Hassanein, Ahmed [School of Nuclear Engineering and Center for Materials Under Extreme Environment(CMUXE), Purdue University, West Lafayette, Indiana 47907 (United States); Endo, Akira; Mocek, Tomas [HiLASE Project, Department of Diode-pumped Lasers, Institute of Physics of the ASCR, Na Slovance 2, 18221 Prague (Czech Republic)

    2014-05-15

    We investigated the role of a guiding magnetic field on extreme ultraviolet (EUV) and ion emission from a laser produced Sn plasma for various laser pulse duration and intensity. For producing plasmas, planar slabs of pure Sn were irradiated with 1064 nm, Nd:YAG laser pulses with varying pulse duration (5–15 ns) and intensity. A magnetic trap was fabricated with the use of two neodymium permanent magnets which provided a magnetic field strength ∼0.5 T along the plume expansion direction. Our results indicate that the EUV conversion efficiency do not depend significantly on applied axial magnetic field. Faraday Cup ion analysis of Sn plasma show that the ion flux reduces by a factor of ∼5 with the application of an axial magnetic field. It was found that the plasma plume expand in the lateral direction with peak velocity measured to be ∼1.2 cm/μs and reduced to ∼0.75 cm/μs with the application of an axial magnetic field. The plume expansion features recorded using fast photography in the presence and absence of 0.5 T axial magnetic field are simulated using particle-in-cell code. Our simulation results qualitatively predict the plasma behavior.

  16. A proposed new method for the determination of the solar irradiance at EUV wavelength range

    Science.gov (United States)

    Feldman, Uri; Doschek, G. A.; Seely, J. F.; Landi, E.; Dammasch, I.

    The solar irradiance in the far ultraviolet (FUV) and extreme ultraviolet (EUV) and its time variability are important inputs to geospace models. It provides the primary mechanism for heating the earth's upper atmosphere and creating the ionosphere. Understanding various space weather phenomena requires reliable detailed knowledge of the solar EUV irradiance. Ideally one would like to have a single well-calibrated, high-resolution spectrometer that can continuously monitor the solar irradiance over the relevant wavelengths range. Since this is much too difficult to accomplish, a number of monitoring instruments were constructed in the past, each covering a fraction of the required wavelength range. Assembling solar irradiance from measurements by a number of instruments is extremely difficult and is usually plagued by large uncertainties. To overcome some of the difficulties resulting from such procedures, empirical models have been developed that rely in large part on solar activity levels as proxies. In recent years a different approach has been established for the determination of the solar irradiance, an approach independent of irradiance observations. The new approach is based on the line intensities calculated from emission measure (EM) distributions across the solar surface. The EM distributions are derived from spatially and spectrally resolved measurements of line intensities and describe the temperature and density structure of the basic large scale features of the solar atmosphere, specifically coronal holes, quiet Sun, and active regions. Recently, as a result of detailed analysis of solar upper atmosphere (SUA) spectra recorded by SUMER/SoHO it was discovered that, in contrast to earlier beliefs, the solar EM in 3x105 -4x106 K plasmas does not appear to vary continuously with temperature as previously assumed. Instead it appears to be composed of isothermal structures where each can attain but one of the following four main temperatures: 5x105 , 9x105

  17. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  18. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  19. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  20. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    International Nuclear Information System (INIS)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-01-01

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  1. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-03-04

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  2. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    Science.gov (United States)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A. K.; Mohan, Man

    2015-08-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac-Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications.

  3. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  4. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  5. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  6. Optical manipulation of photonic defect-modes in cholesteric liquid crystals induced by direct laser-lithography

    International Nuclear Information System (INIS)

    Yoshida, Hiroyuki; Lee, Chee Heng; Miura, Yusuke; Fujii, Akihiko; Ozaki, Masanori

    2008-01-01

    Manipulation of photonic defect-modes in cholesteric liquid crystals (ChLCs), which are one-dimensional pseudo photonic band-gap materials have been demonstrated by an external optical field. A structural defect in which the pitch length of the ChLC in the bulk and the defect are different was introduced by inducing local polymerization in a photo-polymerizable ChLC material by a direct laser-lithography process, and infiltrating a different ChLC material as the defect medium. When an azobenzene dye-doped ChLC was infiltrated in the defect, the trans-cis isomerization of the dye upon ultraviolet (UV) exposure caused the pitch to shorten, changing the contrast in the pitch lengths at the bulk and the defect, leading to a consequent shifting of the defect-mode. The all-optical manipulation was reversible and had high reproducibility

  7. A Long-Term Dissipation of the EUV He ii (30.4 nm) Segmentation in Full-Disk Solar Images

    Science.gov (United States)

    Didkovsky, Leonid

    2018-06-01

    Some quiet-Sun days observed by the Atmospheric Imaging Assembly (AIA) on-board the Solar Dynamics Observatory (SDO) during the time interval in 2010 - 2017 were used to continue our previous analyses reported by Didkovsky and Gurman ( Solar Phys. 289, 153, 2014a) and Didkovsky, Wieman, and Korogodina ( Solar Phys. 292, 32, 2017). The analysis consists of determining and comparing spatial spectral ratios (spectral densities over some time interval) from spatial (segmentation-cell length) power spectra. The ratios were compared using modeled compatible spatial frequencies for spectra from the Extreme ultraviolet Imaging Telescope (EIT) on-board the Solar and Heliospheric Observatory (SOHO) and from AIA images. With the new AIA data added to the EIT data we analyzed previously, the whole time interval from 1996 to 2017 reported here is approximately the length of two "standard" solar cycles (SC). The spectral ratios of segmentation-cell dimension structures show a significant and steady increase with no detected indication of SC-related returns to the values that characterize the SC minima. This increase in spatial power at high spatial frequencies is interpreted as a dissipation of medium-size EUV network structures to smaller-size structures in the transition region. Each of the latest ratio changes for 2010 through 2017 spectra calculated for a number of consecutive short-term intervals has been converted into monthly mean ratio (MMR) changes. The MMR values demonstrate variable sign and magnitudes, thus confirming the solar nature of the changes. These changes do not follow a "typical" trend of instrumental degradation or a long-term activity profile from the He ii (30.4 nm) irradiance measured by the Extreme ultraviolet Spectrophotometer (ESP) either. The ESP is a channel of the Extreme ultraviolet Variability Experiment (EVE) on-board SDO.

  8. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  9. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  10. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  11. Extreme Ultraviolet Emission Lines of Iron Fe XI-XIII

    Science.gov (United States)

    Lepson, Jaan; Beiersdorfer, P.; Brown, G. V.; Liedahl, D. A.; Brickhouse, N. S.; Dupree, A. K.

    2013-04-01

    The extreme ultraviolet (EUV) spectral region (ca. 20--300 Å) is rich in emission lines from low- to mid-Z ions, particularly from the middle charge states of iron. Many of these emission lines are important diagnostics for astrophysical plasmas, providing information on properties such as elemental abundance, temperature, density, and even magnetic field strength. In recent years, strides have been made to understand the complexity of the atomic levels of the ions that emit the lines that contribute to the richness of the EUV region. Laboratory measurements have been made to verify and benchmark the lines. Here, we present laboratory measurements of Fe XI, Fe XII, and Fe XIII between 40-140 Å. The measurements were made at the Lawrence Livermore electron beam ion trap (EBIT) facility, which has been optimized for laboratory astrophysics, and which allows us to select specific charge states of iron to help line identification. We also present new calculations by the Hebrew University - Lawrence Livermore Atomic Code (HULLAC), which we also utilized for line identification. We found that HULLAC does a creditable job of reproducing the forest of lines we observed in the EBIT spectra, although line positions are in need of adjustment, and line intensities often differed from those observed. We identify or confirm a number of new lines for these charge states. This work was supported by the NASA Solar and Heliospheric Program under Contract NNH10AN31I and the DOE General Plasma Science program. Work was performed in part under the auspices of the Department of Energy by Lawrence Livermore National Laboratory under Contract DEAC52-07NA27344.

  12. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    Science.gov (United States)

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  13. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  14. Actinic imaging of native and programmed defects on a full-field mask

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K. A.; Fontaine, B. La; Tchikoulaeva, A.; Holfeld, C.

    2010-03-12

    We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non-EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through-focus imaging.

  15. On the Importance of the Flare's Late Phase for the Solar Extreme Ultraviolet Irradiance

    Science.gov (United States)

    Woods, Thomas N.; Eparvier, Frank; Jones, Andrew R.; Hock, Rachel; Chamberlin, Phillip C.; Klimchuk, James A.; Didkovsky, Leonid; Judge, Darrell; Mariska, John; Bailey, Scott; hide

    2011-01-01

    The new solar extreme ultraviolet (EUV) irradiance observations from NASA Solar Dynamics Observatory (SDO) have revealed a new class of solar flares that are referred to as late phase flares. These flares are characterized by the hot 2-5 MK coronal emissions (e.g., Fe XVI 33.5 nm) showing large secondary peaks that appear many minutes to hours after an eruptive flare event. In contrast, the cool 0.7-1.5 MK coronal emissions (e.g., Fe IX 17.1 nm) usually dim immediately after the flare onset and do not recover until after the delayed second peak of the hot coronal emissions. We refer to this period of 1-5 hours after the fl amrea sin phase as the late phase, and this late phase is uniquely different than long duration flares associated with 2-ribbon flares or large filament eruptions. Our analysis of the late phase flare events indicates that the late phase involves hot coronal loops near the flaring region, not directly related to the original flaring loop system but rather with the higher post-eruption fields. Another finding is that space weather applications concerning Earth s ionosphere and thermosphere need to consider these late phase flares because they can enhance the total EUV irradiance flare variation by a factor of 2 when the late phase contribution is included.

  16. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  17. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  18. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  19. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  20. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  1. Configuration interaction calculations and excitation rates of X-ray and EUV transitions in sulfurlike manganese

    Energy Technology Data Exchange (ETDEWEB)

    El-Maaref, A.A., E-mail: ahmed.maaref@azhar.edu.eg; Saddeek, Y.B.; Abou halaka, M.M.

    2017-02-15

    Highlights: • Fine-structure calculations of sulfurlike Mn have been performed using configuration interaction technique, CI. • The relativistic effects, Breit-Pauli Hameltonian, have been correlated to the CI calculations. • Excitation rates by electron impact of the Mn X ion have been evaluated up to ionization potential. - Abstract: Fine-structure calculations of energies and transition parameters have been performed using the configuration interaction technique (CI) as implemented in CIV3 code for sulfurlike manganese, Mn X. The calculations are executed in an intermediate coupling scheme using the Breit-Pauli Hamiltonian. As well as, energy levels and oscillator strengths are calculated using LANL code, where the calculations by LANL have been used to estimate the accuracy of the present CI calculations. The calculated energy levels, oscillator strengths, and lifetimes are in reasonable agreement with the published experimental and theoretical values. Electron impact excitation rates of the transitions emit soft X-ray and extreme ultraviolet (EUV) wavelengths have been evaluated. The level population densities are calculated using the collisional radiative model (CRM), as well. The collisional excitation rates and collision strengths have been calculated in the electron temperature range ≤ the ionization potential, ∼1–250 eV.

  2. Interface characterization in B-based multilayer mirrors for next generation lithography

    International Nuclear Information System (INIS)

    Naujok, Philipp; Yulin, Sergiy; Müller, Robert; Kaiser, Norbert; Tünnermann, Andreas

    2016-01-01

    The interfaces in La/B_4C and LaN/B_4C multilayer mirrors designed for near normal incidence reflection of 6.x nm EUV light were investigated by grazing incidence X-ray reflectometry, high-resolution transmission electron microscopy and EUV reflectometry. The thickness and roughness asymmetries of the different interfaces in both studied systems have been identified. A development of interface roughness with an increasing number of bilayers was found by different investigation methods. For near normal incidence, R = 51.1% @ λ = 6.65 nm could be reached with our La/B_4C multilayer mirrors, whereas R = 58.1% was achieved with LaN/B_4C multilayers at the same wavelength. - Highlights: • Interface structure in B-based multilayer mirrors investigated. • Combining X-ray reflection, EUV reflection and transmission electron microscopy • Interface thickness and roughness asymmetry identified • Interface roughness increases with higher number of bilayers.

  3. Numerical study of extreme-ultra-violet generated plasmas in hydrogen

    OpenAIRE

    Astakhov, Dmitry

    2016-01-01

    In this thesis, we present the development and study a numerical model of EUV-induced plasma. Understanding of behavior of low pressure low density plasmas is of industrial relevance, because of their potential use for on-line removal of different forms of contaminations from multilayer mirrors, which will help increase the throughput of EUV lithography. The model is 2D axially symmetric particle-in-cell code, hence it allows the full geometry of an axially symmetric chamber to be taken into...

  4. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  5. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  6. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  7. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  8. Design parameters for a small storage ring optimized as an x-ray lithography source

    International Nuclear Information System (INIS)

    Grobman, W.D.

    1983-01-01

    This paper examines the design parameters for a ''compact storage ring'' which is matched well to x-ray lithographic requirements, but is as small as possible. This calculation uses a model of a lithographic system which obtains its input parameters from a technology of mask, resist and beam line based on the IBM program at the Brookhaven National Laboratory vacuum ultraviolet electron storage ring. Based on this lithographic system, we model exposure throughput as a function of storage ring parameters to understand which storage ring designs provide adequate but not excessive soft x-ray flux in the lithographically important region. Our scan of storage ring sources will cover a wide range of energies and magnetic fields, to permit consideration of superconducting as well as more standard strong- or weak-focusing designs. Furthermore, we will show that the results of the calculations presented here can be scaled in a simple way to cover a wide range of x-ray lithography system assumptions

  9. Discharge of viscous UV-curable resin droplets by screen printing for UV nanoimprint lithography

    Science.gov (United States)

    Tanabe, Akira; Uehara, Takuya; Nagase, Kazuro; Ikedo, Hiroaki; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2016-06-01

    We demonstrated a coating method of screen printing for discharging droplets of a high-viscosity resin on a substrate for ultraviolet (UV) nanoimprint lithography (NIL). Compared with a spin-coated resin film on a silicon substrate, discharged resin droplets on a silicon substrate were effective in terms of the uniformity of residual layer thickness (RLT) in contact with a mold with various pattern densities. Fluorescence microscope observations with a fluorescent-dye-containing UV-curable resin enabled the evaluation of the shapes of resin droplets discharged on a substrate surface. Widely used screen mesh plates composed of a stainless mesh covered with a patterned emulsion film caused defects of undischarged parts, whereas defects-free resin droplets with a narrow size distribution were discharged by mesh-free plates prepared with laser ablation. The pitch-to-diameter ratio in the configuration of 10-µm-diameter holes needs to be larger than 2.5 times for printing a resin having a viscosity of 12,800 mPa s.

  10. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  11. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  12. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  13. Berkeley extreme-ultraviolet airglow rocket spectrometer - BEARS

    Science.gov (United States)

    Cotton, D. M.; Chakrabarti, S.

    1992-01-01

    The Berkeley EUV airglow rocket spectrometer (BEARS) instrument is described. The instrument was designed in particular to measure the dominant lines of atomic oxygen in the FUV and EUV dayglow at 1356, 1304, 1027, and 989 A, which is the ultimate source of airglow emissions. The optical and mechanical design of the instrument, the detector, electronics, calibration, flight operations, and results are examined.

  14. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  15. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  16. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  17. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    Science.gov (United States)

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  18. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  19. Term structure of 4d-electron configurations and calculated spectrum in Sn-isonuclear sequence

    International Nuclear Information System (INIS)

    Al-Rabban, Moza M.

    2006-01-01

    Theoretical calculations of term structure are carried out for the ground configurations 4d w , of atomic ions in the Sn isonuclear sequence. Atomic computations are performed to give a detailed account of the transitions in Sn +6 to Sn +13 ions. The spectrum is calculated for the most important excited configurations 4p 5 4d n+1 , 4d n-1 4f 1 , and 4d n-1 5p 1 with respect to the ground configuration 4d n , with n=8-1, respectively. The importance of 4p-4d, 4d-4f, and 4d-5p transitions is stressed, as well as the need for the configuration-interaction CI treatment of the Δn=0 transitions. In the region of importance for extreme ultraviolet (EUV) lithography around 13.4nm, the strongest lines were expected to be 4d n -4p 5 4d n+1 and 4d n -4d n-1 4f 1

  20. Laboratory calibration of density-dependent lines in the extreme ultraviolet spectral region

    Science.gov (United States)

    Lepson, J. K.; Beiersdorfer, P.; Gu, M. F.; Desai, P.; Bitter, M.; Roquemore, L.; Reinke, M. L.

    2012-05-01

    We have been making spectral measurements in the extreme ultraviolet (EUV) from different laboratory sources in order to investigate the electron density dependence of various astrophysically important emission lines and to test the atomic models underlying the diagnostic line ratios. The measurement are being performed at the Livermore EBIT-I electron beam ion trap, the National Spherical Torus Experiment (NSTX) at Princeton, and the Alcator C-Mod tokamak at the Massachusetts Institute of Technology, which together span an electron density of four orders of magnitude and which allow us to test the various models at high and low density limits. Here we present measurements of Fe XXII and Ar XIV, which include new data from an ultra high resolution (λ/Δλ >4000) spectrometer at the EBIT-I facility. We found good agreement between the measurements and modeling calculations for Fe XXII, but poorer agreement for Ar XIV.

  1. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  2. Electron-hole pairs generated in ZrO2 nanoparticle resist upon exposure to extreme ultraviolet radiation

    Science.gov (United States)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-02-01

    Metal oxide nanoparticle resists have attracted much attention as the next-generation resist used for the high-volume production of semiconductor devices. However, the sensitization mechanism of the metal oxide nanoparticle resists is unknown. Understanding the sensitization mechanism is important for the efficient development of resist materials. In this study, the energy deposition in a zirconium oxide (ZrO2) nanoparticle resist was investigated. The numbers of electron-hole pairs generated in a ZrO2 core and an methacrylic acid (MAA) ligand shell upon exposure to 1 mJ cm-2 (exposure dose) extreme ultraviolet (EUV) radiations were theoretically estimated to be 0.16 at most and 0.04-0.17 cm2 mJ-1, respectively. By comparing the calculated distribution of electron-hole pairs with the line-and-space patterns of the ZrO2 nanoparticle resist fabricated by an EUV exposure tool, the number of electron-hole pairs required for the solubility change of the resist films was estimated to be 1.3-2.2 per NP. NP denotes a nanoparticle consisting of a metal oxide core with a ligand shell. In the material design of metal oxide nanoparticle resists, it is important to efficiently use the electron-hole pairs generated in the metal oxide core for the chemical change of ligand molecules.

  3. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  4. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  5. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  6. Grayscale lithography-automated mask generation for complex three-dimensional topography

    Science.gov (United States)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  7. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  8. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  9. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  10. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  11. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  12. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  13. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  14. Patterning control strategies for minimum edge placement error in logic devices

    Science.gov (United States)

    Mulkens, Jan; Hanna, Michael; Slachter, Bram; Tel, Wim; Kubis, Michael; Maslow, Mark; Spence, Chris; Timoshkov, Vadim

    2017-03-01

    In this paper we discuss the edge placement error (EPE) for multi-patterning semiconductor manufacturing. In a multi-patterning scheme the creation of the final pattern is the result of a sequence of lithography and etching steps, and consequently the contour of the final pattern contains error sources of the different process steps. We describe the fidelity of the final pattern in terms of EPE, which is defined as the relative displacement of the edges of two features from their intended target position. We discuss our holistic patterning optimization approach to understand and minimize the EPE of the final pattern. As an experimental test vehicle we use the 7-nm logic device patterning process flow as developed by IMEC. This patterning process is based on Self-Aligned-Quadruple-Patterning (SAQP) using ArF lithography, combined with line cut exposures using EUV lithography. The computational metrology method to determine EPE is explained. It will be shown that ArF to EUV overlay, CDU from the individual process steps, and local CD and placement of the individual pattern features, are the important contributors. Based on the error budget, we developed an optimization strategy for each individual step and for the final pattern. Solutions include overlay and CD metrology based on angle resolved scatterometry, scanner actuator control to enable high order overlay corrections and computational lithography optimization to minimize imaging induced pattern placement errors of devices and metrology targets.

  15. Micro-bridge defects: characterization and root cause analysis

    Science.gov (United States)

    Santoro, Gaetano; Van den Heuvel, Dieter; Braggin, Jennifer; Rosslee, Craig; Leray, Philippe J.; Cheng, Shaunee; Jehoul, Christiane; Schreutelkamp, Robert; Hillel, Noam

    2010-03-01

    Defect review of advanced lithography processes is becoming more and more challenging as feature sizes decrease. Previous studies using a defect review SEM on immersion lithography generated wafers have resulted in a defect classification scheme which, among others, includes a category for micro-bridges. Micro-bridges are small connections between two adjacent lines in photo-resist and are considered device killing defects. Micro-bridge rates also tend to increase as feature sizes decrease, making them even more important for the next technology nodes. Especially because micro-bridge defects can originate from different root causes, the need to further refine and split up the classification of this type of defect into sub groups may become a necessity. This paper focuses on finding the correlation of the different types of micro-bridge defects to a particular root cause based on a full characterization and root cause analysis of this class of defects, by using advanced SEM review capabilities like high quality imaging in very low FOV, Multi Perspective SEM Imaging (MPSI), tilted column and rotated stage (Tilt&Rotation) imaging and Focused Ion Beam (FIB) cross sectioning. Immersion lithography material has been mainly used to generate the set of data presented in this work even though, in the last part of the results, some EUV lithography data will be presented as part of the continuing effort to extend the micro-bridge defect characterization to the EUV technology on 40 nm technology node and beyond.

  16. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  17. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  18. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  19. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  20. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  1. Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography

    Science.gov (United States)

    Luo, Feixiang

    The semiconductor industry has witnessed a continuous decrease in the size of logic, memory and other computer chip components since its birth over half a century ago. The shrinking (scaling) of components has to a large extent been enabled by the development of micro- and now nano-lithographic techniques. This thesis focuses on one central component of lithography, the resist, which is essentially a thin film that when appropriately exposed enables a pattern to be printed onto a surface. Smaller features require an ever more precisely focused photon, electron or ion beam with which to expose the resist. The likely next generation source of radiation that will enable sub-20nm features to be written will employ extreme ultraviolet radiation (EUV), 92eV (13.5nm). The work discussed here involves a novel class of inorganic resists (including a solution processed Hf-based resist called HafSOx), as the organic resists that have dominated the microlithography industry for the past few decades have approached fundamental scaling limits. In order to maintain the high throughput required by high volume semiconductor manufacturing, metal oxide resists have been proposed and developed to meet the resolution and sensitivity in EUV lithography. One can think of our resists as the nano-lithographic analog to the silver halide film that dominated the photographic print industry for a century. In this thesis, we mainly describe our work on HafSOx, a "first generation" metal oxide EUV resist system. HafSOx thin films can be deposited by spin-coating a mixed solution of HfOCl2, H2O 2, and H2SO4. Various materials characterization techniques have been employed to achieve a comprehensive understanding of film composition and structure at both surface and bulk level, as well as a mechanistic understanding of the film radiation chemistry. Taking advantage of the high energy x-rays used in the XPS experiment, we developed an experiment to dynamically monitor the photochemistry within the

  2. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  3. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  4. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  5. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  6. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  7. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  8. The Formation of a Power Multi-Pulse Extreme Ultraviolet Radiation in the Pulse Plasma Diode of Low Pressure

    Directory of Open Access Journals (Sweden)

    Ievgeniia V. Borgun

    2013-01-01

    Full Text Available In this paper results are presented on experimental studies of the temporal characteristics of spike extreme ultraviolet (EUV radiation in the spectral range of 12.2 ÷ 15.8 nm from the anode region of high-current (I = 40 kA pulsed discharges in tin vapor. It is observed that the intense multi-spike radiation in this range arises at an inductive stage of the discharge. It has been shown that the radiation spikes correlate with the sharp increase of active resistance and of pumped power, due to plasma heating by an electron beam, formed in the double layer of charged particles. It has been observed that for large number of spikes the conversion efficiency of pumped energy into radiationat double layer formation is essentially higher in comparison with collisional heating.

  9. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  10. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  11. Pinhole diffraction holography for fabrication of high-resolution Fresnel zone plates.

    Science.gov (United States)

    Sarkar, Sankha S; Solak, Harun H; David, Christian; van der Veen, J Friso

    2014-01-27

    Fresnel zone plates (FZPs) play an essential role in high spatial resolution x-ray imaging and analysis of materials in many fields. These diffractive lenses are commonly made by serial writing techniques such as electron beam or focused ion beam lithography. Here we show that pinhole diffraction holography has potential to generate FZP patterns that are free from aberrations and imperfections that may be present in alternative fabrication techniques. In this presented method, FZPs are fabricated by recording interference pattern of a spherical wave generated by diffraction through a pinhole, illuminated with coherent plane wave at extreme ultraviolet (EUV) wavelength. Fundamental and practical issues involved in formation and recording of the interference pattern are considered. It is found that resolution of the produced FZP is directly related to the diameter of the pinhole used and the pinhole size cannot be made arbitrarily small as the transmission of EUV or x-ray light through small pinholes diminishes due to poor refractive index contrast found between materials in these spectral ranges. We also find that the practical restrictions on exposure time due to the light intensity available from current sources directly imposes a limit on the number of zones that can be printed with this method. Therefore a trade-off between the resolution and the FZP diameter exists. Overall, we find that this method can be used to fabricate aberration free FZPs down to a resolution of about 10 nm.

  12. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  13. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  14. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  15. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  16. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  17. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  18. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  19. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  20. Lithography - Green and Getting Greener

    Science.gov (United States)

    Levinson, Harry J.

    2011-06-01

    efficiency in that operation. A lot of water is used to reduce defects when using chemically amplified resists, and the amount of water needed could be reduced by improved design of resists and substrate coatings. Thinking further into the future, directed self-assembly has the promise of a patterning technology that can be applied simply and with energy-efficiency. Once the fundamental challenges of creating high output extreme ultraviolet (EUV) light sources are overcome, there will be great opportunities for reducing electricity consumption.